blob: 9ed12bd87d2b4985fc76a37fda62534f1301b525 [file] [log] [blame]
Nobuhiro Iwamatsucff2f5f2014-06-26 10:23:30 +09001#
2# board/renesas/alt/Makefile
3#
4# Copyright (C) 2014 Renesas Electronics Corporation
5#
6# SPDX-License-Identifier: GPL-2.0
7#
8
9obj-y := alt.o qos.o