Fix watchdog reset problems on LWMON board
diff --git a/post/cpu.c b/post/cpu.c
index 6d09a75..1f2ded2 100644
--- a/post/cpu.c
+++ b/post/cpu.c
@@ -120,6 +120,7 @@
 	WATCHDOG_RESET();
 	if (ret == 0)
 		ret = cpu_post_test_multi ();
+	WATCHDOG_RESET();
 	if (ret == 0)
 		ret = cpu_post_test_string ();
 	if (ret == 0)