blob: bd37558475f306712a517db359c429bab6221b2b [file] [log] [blame]
Jason Liu76d7f572011-11-25 00:18:05 +00001#
2# Copyright (C) 2007, Guennadi Liakhovetski <lg@denx.de>
3#
4# (C) Copyright 2011 Freescale Semiconductor, Inc.
5#
Wolfgang Denk1a459662013-07-08 09:37:19 +02006# SPDX-License-Identifier: GPL-2.0+
Jason Liu76d7f572011-11-25 00:18:05 +00007#
8
9include $(TOPDIR)/config.mk
10
11LIB = $(obj)lib$(BOARD).o
12
13COBJS := mx6qarm2.o
14
Fabio Estevamef0ed6a2012-05-29 07:40:02 +000015SRCS := $(COBJS:.o=.c)
Jason Liu76d7f572011-11-25 00:18:05 +000016OBJS := $(addprefix $(obj),$(COBJS))
Jason Liu76d7f572011-11-25 00:18:05 +000017
Fabio Estevamef0ed6a2012-05-29 07:40:02 +000018$(LIB): $(obj).depend $(OBJS)
19 $(call cmd_link_o_target, $(OBJS))
Jason Liu76d7f572011-11-25 00:18:05 +000020
21#########################################################################
22
23# defines $(obj).depend target
24include $(SRCTREE)/rules.mk
25
26sinclude $(obj).depend
27
28#########################################################################