blob: 5ba0c5fdecbba2e7525b909e2a74e36ac718045f [file] [log] [blame]
wdenk5653fc32004-02-08 22:55:38 +00001/*
wdenkbf9e3b32004-02-12 00:47:09 +00002 * (C) Copyright 2002-2004
wdenk5653fc32004-02-08 22:55:38 +00003 * Brad Kemp, Seranoa Networks, Brad.Kemp@seranoa.com
4 *
5 * Copyright (C) 2003 Arabella Software Ltd.
6 * Yuli Barcohen <yuli@arabellasw.com>
wdenk5653fc32004-02-08 22:55:38 +00007 *
wdenkbf9e3b32004-02-12 00:47:09 +00008 * Copyright (C) 2004
9 * Ed Okerson
Stefan Roese260421a2006-11-13 13:55:24 +010010 *
11 * Copyright (C) 2006
12 * Tolunay Orkun <listmember@orkun.us>
wdenkbf9e3b32004-02-12 00:47:09 +000013 *
Wolfgang Denk1a459662013-07-08 09:37:19 +020014 * SPDX-License-Identifier: GPL-2.0+
wdenk5653fc32004-02-08 22:55:38 +000015 */
16
17/* The DEBUG define must be before common to enable debugging */
wdenk2d1a5372004-02-23 19:30:57 +000018/* #define DEBUG */
19
wdenk5653fc32004-02-08 22:55:38 +000020#include <common.h>
Simon Glass24b852a2015-11-08 23:47:45 -070021#include <console.h>
Thomas Chouf1056912015-11-07 14:31:08 +080022#include <dm.h>
23#include <errno.h>
24#include <fdt_support.h>
wdenk5653fc32004-02-08 22:55:38 +000025#include <asm/processor.h>
Haiying Wang3a197b22007-02-21 16:52:31 +010026#include <asm/io.h>
wdenk4c0d4c32004-06-09 17:34:58 +000027#include <asm/byteorder.h>
Andrew Gabbasovaedadf12013-05-14 12:27:52 -050028#include <asm/unaligned.h>
wdenk2a8af182005-04-13 10:02:42 +000029#include <environment.h>
Stefan Roesefa36ae72009-10-27 15:15:55 +010030#include <mtd/cfi_flash.h>
Jens Scharsig (BuS Elektronik)a9f5fab2012-01-27 09:29:53 +010031#include <watchdog.h>
wdenk028ab6b2004-02-23 23:54:43 +000032
wdenk5653fc32004-02-08 22:55:38 +000033/*
Haavard Skinnemoen7e5b9b42007-12-13 12:56:28 +010034 * This file implements a Common Flash Interface (CFI) driver for
35 * U-Boot.
36 *
37 * The width of the port and the width of the chips are determined at
38 * initialization. These widths are used to calculate the address for
39 * access CFI data structures.
wdenk5653fc32004-02-08 22:55:38 +000040 *
41 * References
42 * JEDEC Standard JESD68 - Common Flash Interface (CFI)
43 * JEDEC Standard JEP137-A Common Flash Interface (CFI) ID Codes
44 * Intel Application Note 646 Common Flash Interface (CFI) and Command Sets
45 * Intel 290667-008 3 Volt Intel StrataFlash Memory datasheet
Stefan Roese260421a2006-11-13 13:55:24 +010046 * AMD CFI Specification, Release 2.0 December 1, 2001
47 * AMD/Spansion Application Note: Migration from Single-byte to Three-byte
48 * Device IDs, Publication Number 25538 Revision A, November 8, 2001
wdenk5653fc32004-02-08 22:55:38 +000049 *
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +020050 * Define CONFIG_SYS_WRITE_SWAPPED_DATA, if you have to swap the Bytes between
Heiko Schocherd0b6e142007-01-19 18:05:26 +010051 * reading and writing ... (yes there is such a Hardware).
wdenk5653fc32004-02-08 22:55:38 +000052 */
53
Thomas Chouf1056912015-11-07 14:31:08 +080054DECLARE_GLOBAL_DATA_PTR;
55
Haavard Skinnemoen7e5b9b42007-12-13 12:56:28 +010056static uint flash_offset_cfi[2] = { FLASH_OFFSET_CFI, FLASH_OFFSET_CFI_ALT };
Mike Frysinger4ffeab22010-12-22 09:41:13 -050057#ifdef CONFIG_FLASH_CFI_MTD
Piotr Ziecik6ea808e2008-11-17 15:49:32 +010058static uint flash_verbose = 1;
Mike Frysinger4ffeab22010-12-22 09:41:13 -050059#else
60#define flash_verbose 1
61#endif
Wolfgang Denk92eb7292006-12-27 01:26:13 +010062
Wolfgang Denk2a112b22008-08-08 16:39:54 +020063flash_info_t flash_info[CFI_MAX_FLASH_BANKS]; /* FLASH chips info */
64
Stefan Roese79b4cda2006-02-28 15:29:58 +010065/*
66 * Check if chip width is defined. If not, start detecting with 8bit.
67 */
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +020068#ifndef CONFIG_SYS_FLASH_CFI_WIDTH
69#define CONFIG_SYS_FLASH_CFI_WIDTH FLASH_CFI_8BIT
Stefan Roese79b4cda2006-02-28 15:29:58 +010070#endif
71
Jeroen Hofstee00dcb072014-10-08 22:57:23 +020072#ifdef CONFIG_CFI_FLASH_USE_WEAK_ACCESSORS
73#define __maybe_weak __weak
74#else
75#define __maybe_weak static
76#endif
77
Stefan Roese6f726f92010-10-25 18:31:48 +020078/*
79 * 0xffff is an undefined value for the configuration register. When
80 * this value is returned, the configuration register shall not be
81 * written at all (default mode).
82 */
83static u16 cfi_flash_config_reg(int i)
84{
85#ifdef CONFIG_SYS_CFI_FLASH_CONFIG_REGS
86 return ((u16 [])CONFIG_SYS_CFI_FLASH_CONFIG_REGS)[i];
87#else
88 return 0xffff;
89#endif
90}
91
Stefan Roeseca5def32010-08-31 10:00:10 +020092#if defined(CONFIG_SYS_MAX_FLASH_BANKS_DETECT)
93int cfi_flash_num_flash_banks = CONFIG_SYS_MAX_FLASH_BANKS_DETECT;
94#endif
95
Thomas Chouf1056912015-11-07 14:31:08 +080096#ifdef CONFIG_CFI_FLASH /* for driver model */
97static void cfi_flash_init_dm(void)
98{
99 struct udevice *dev;
100
101 cfi_flash_num_flash_banks = 0;
102 /*
103 * The uclass_first_device() will probe the first device and
104 * uclass_next_device() will probe the rest if they exist. So
105 * that cfi_flash_probe() will get called assigning the base
106 * addresses that are available.
107 */
108 for (uclass_first_device(UCLASS_MTD, &dev);
109 dev;
110 uclass_next_device(&dev)) {
111 }
112}
113
Thomas Chouf1056912015-11-07 14:31:08 +0800114phys_addr_t cfi_flash_bank_addr(int i)
115{
Marek Vasut1ec0a372017-09-12 19:09:08 +0200116 return flash_info[i].base;
Thomas Chouf1056912015-11-07 14:31:08 +0800117}
118#else
Jeroen Hofstee00dcb072014-10-08 22:57:23 +0200119__weak phys_addr_t cfi_flash_bank_addr(int i)
Stefan Roeseb00e19c2010-08-30 10:11:51 +0200120{
121 return ((phys_addr_t [])CONFIG_SYS_FLASH_BANKS_LIST)[i];
122}
Thomas Chouf1056912015-11-07 14:31:08 +0800123#endif
Stefan Roeseb00e19c2010-08-30 10:11:51 +0200124
Jeroen Hofstee00dcb072014-10-08 22:57:23 +0200125__weak unsigned long cfi_flash_bank_size(int i)
Ilya Yanokec50a8e2010-10-21 17:20:12 +0200126{
127#ifdef CONFIG_SYS_FLASH_BANKS_SIZES
128 return ((unsigned long [])CONFIG_SYS_FLASH_BANKS_SIZES)[i];
129#else
130 return 0;
131#endif
132}
Ilya Yanokec50a8e2010-10-21 17:20:12 +0200133
Jeroen Hofstee00dcb072014-10-08 22:57:23 +0200134__maybe_weak void flash_write8(u8 value, void *addr)
Haavard Skinnemoencdbaefb2007-12-13 12:56:32 +0100135{
136 __raw_writeb(value, addr);
137}
138
Jeroen Hofstee00dcb072014-10-08 22:57:23 +0200139__maybe_weak void flash_write16(u16 value, void *addr)
Haavard Skinnemoencdbaefb2007-12-13 12:56:32 +0100140{
141 __raw_writew(value, addr);
142}
143
Jeroen Hofstee00dcb072014-10-08 22:57:23 +0200144__maybe_weak void flash_write32(u32 value, void *addr)
Haavard Skinnemoencdbaefb2007-12-13 12:56:32 +0100145{
146 __raw_writel(value, addr);
147}
148
Jeroen Hofstee00dcb072014-10-08 22:57:23 +0200149__maybe_weak void flash_write64(u64 value, void *addr)
Haavard Skinnemoencdbaefb2007-12-13 12:56:32 +0100150{
151 /* No architectures currently implement __raw_writeq() */
152 *(volatile u64 *)addr = value;
153}
154
Jeroen Hofstee00dcb072014-10-08 22:57:23 +0200155__maybe_weak u8 flash_read8(void *addr)
Haavard Skinnemoencdbaefb2007-12-13 12:56:32 +0100156{
157 return __raw_readb(addr);
158}
159
Jeroen Hofstee00dcb072014-10-08 22:57:23 +0200160__maybe_weak u16 flash_read16(void *addr)
Haavard Skinnemoencdbaefb2007-12-13 12:56:32 +0100161{
162 return __raw_readw(addr);
163}
164
Jeroen Hofstee00dcb072014-10-08 22:57:23 +0200165__maybe_weak u32 flash_read32(void *addr)
Haavard Skinnemoencdbaefb2007-12-13 12:56:32 +0100166{
167 return __raw_readl(addr);
168}
169
Jeroen Hofstee00dcb072014-10-08 22:57:23 +0200170__maybe_weak u64 flash_read64(void *addr)
Haavard Skinnemoencdbaefb2007-12-13 12:56:32 +0100171{
172 /* No architectures currently implement __raw_readq() */
173 return *(volatile u64 *)addr;
174}
175
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200176/*-----------------------------------------------------------------------
177 */
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +0200178#if defined(CONFIG_ENV_IS_IN_FLASH) || defined(CONFIG_ENV_ADDR_REDUND) || (CONFIG_SYS_MONITOR_BASE >= CONFIG_SYS_FLASH_BASE)
Marek Vasut236c49a2017-08-20 17:20:00 +0200179static flash_info_t *flash_get_info(ulong base)
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200180{
181 int i;
Masahiro Yamada24c185c2013-05-17 14:50:37 +0900182 flash_info_t *info;
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200183
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +0200184 for (i = 0; i < CONFIG_SYS_MAX_FLASH_BANKS; i++) {
Masahiro Yamadae2e273a2013-05-17 14:50:36 +0900185 info = &flash_info[i];
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200186 if (info->size && info->start[0] <= base &&
187 base <= info->start[0] + info->size - 1)
Masahiro Yamada24c185c2013-05-17 14:50:37 +0900188 return info;
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200189 }
190
Masahiro Yamada24c185c2013-05-17 14:50:37 +0900191 return NULL;
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200192}
wdenk5653fc32004-02-08 22:55:38 +0000193#endif
194
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +0100195unsigned long flash_sector_size(flash_info_t *info, flash_sect_t sect)
196{
197 if (sect != (info->sector_count - 1))
198 return info->start[sect + 1] - info->start[sect];
199 else
200 return info->start[0] + info->size - info->start[sect];
201}
202
wdenk5653fc32004-02-08 22:55:38 +0000203/*-----------------------------------------------------------------------
204 * create an address based on the offset and the port width
205 */
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +0100206static inline void *
Mario Sixca2b07a2018-01-26 14:43:32 +0100207flash_map(flash_info_t *info, flash_sect_t sect, uint offset)
wdenk5653fc32004-02-08 22:55:38 +0000208{
Stefan Roesee303be22013-04-12 19:04:54 +0200209 unsigned int byte_offset = offset * info->portwidth;
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +0100210
Stefan Roesee303be22013-04-12 19:04:54 +0200211 return (void *)(info->start[sect] + byte_offset);
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +0100212}
213
214static inline void flash_unmap(flash_info_t *info, flash_sect_t sect,
215 unsigned int offset, void *addr)
216{
wdenk5653fc32004-02-08 22:55:38 +0000217}
wdenkbf9e3b32004-02-12 00:47:09 +0000218
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200219/*-----------------------------------------------------------------------
220 * make a proper sized command based on the port and chip widths
221 */
Sebastian Siewior7288f972008-07-15 13:35:23 +0200222static void flash_make_cmd(flash_info_t *info, u32 cmd, void *cmdbuf)
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200223{
224 int i;
Vasiliy Leoenenko93c56f22008-05-07 21:24:44 +0400225 int cword_offset;
226 int cp_offset;
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +0200227#if defined(__LITTLE_ENDIAN) || defined(CONFIG_SYS_WRITE_SWAPPED_DATA)
Sebastian Siewior340ccb22008-07-16 20:04:49 +0200228 u32 cmd_le = cpu_to_le32(cmd);
229#endif
Vasiliy Leoenenko93c56f22008-05-07 21:24:44 +0400230 uchar val;
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200231 uchar *cp = (uchar *) cmdbuf;
232
Mario Sixb1683862018-01-26 14:43:33 +0100233 for (i = info->portwidth; i > 0; i--) {
Mario Six640f4e32018-01-26 14:43:36 +0100234 cword_offset = (info->portwidth - i) % info->chipwidth;
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +0200235#if defined(__LITTLE_ENDIAN) || defined(CONFIG_SYS_WRITE_SWAPPED_DATA)
Vasiliy Leoenenko93c56f22008-05-07 21:24:44 +0400236 cp_offset = info->portwidth - i;
Mario Sixdb91bb22018-01-26 14:43:34 +0100237 val = *((uchar *)&cmd_le + cword_offset);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200238#else
Vasiliy Leoenenko93c56f22008-05-07 21:24:44 +0400239 cp_offset = i - 1;
Mario Sixdb91bb22018-01-26 14:43:34 +0100240 val = *((uchar *)&cmd + sizeof(u32) - cword_offset - 1);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200241#endif
Sebastian Siewior7288f972008-07-15 13:35:23 +0200242 cp[cp_offset] = (cword_offset >= sizeof(u32)) ? 0x00 : val;
Vasiliy Leoenenko93c56f22008-05-07 21:24:44 +0400243 }
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200244}
245
wdenkbf9e3b32004-02-12 00:47:09 +0000246#ifdef DEBUG
247/*-----------------------------------------------------------------------
248 * Debug support
249 */
Mario Six188a5562018-01-26 14:43:31 +0100250static void print_longlong(char *str, unsigned long long data)
wdenkbf9e3b32004-02-12 00:47:09 +0000251{
252 int i;
253 char *cp;
254
Mario Six640f4e32018-01-26 14:43:36 +0100255 cp = (char *)&data;
wdenkbf9e3b32004-02-12 00:47:09 +0000256 for (i = 0; i < 8; i++)
Mario Six188a5562018-01-26 14:43:31 +0100257 sprintf(&str[i * 2], "%2.2x", *cp++);
wdenkbf9e3b32004-02-12 00:47:09 +0000258}
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200259
Mario Six188a5562018-01-26 14:43:31 +0100260static void flash_printqry(struct cfi_qry *qry)
wdenkbf9e3b32004-02-12 00:47:09 +0000261{
Haavard Skinnemoene23741f2007-12-14 15:36:16 +0100262 u8 *p = (u8 *)qry;
wdenkbf9e3b32004-02-12 00:47:09 +0000263 int x, y;
264
Haavard Skinnemoene23741f2007-12-14 15:36:16 +0100265 for (x = 0; x < sizeof(struct cfi_qry); x += 16) {
266 debug("%02x : ", x);
267 for (y = 0; y < 16; y++)
268 debug("%2.2x ", p[x + y]);
269 debug(" ");
wdenkbf9e3b32004-02-12 00:47:09 +0000270 for (y = 0; y < 16; y++) {
Haavard Skinnemoene23741f2007-12-14 15:36:16 +0100271 unsigned char c = p[x + y];
Mario Six7223a8c2018-01-26 14:43:37 +0100272
Haavard Skinnemoene23741f2007-12-14 15:36:16 +0100273 if (c >= 0x20 && c <= 0x7e)
274 debug("%c", c);
275 else
276 debug(".");
wdenkbf9e3b32004-02-12 00:47:09 +0000277 }
Haavard Skinnemoene23741f2007-12-14 15:36:16 +0100278 debug("\n");
wdenkbf9e3b32004-02-12 00:47:09 +0000279 }
280}
wdenkbf9e3b32004-02-12 00:47:09 +0000281#endif
282
wdenk5653fc32004-02-08 22:55:38 +0000283/*-----------------------------------------------------------------------
284 * read a character at a port width address
285 */
Mario Sixca2b07a2018-01-26 14:43:32 +0100286static inline uchar flash_read_uchar(flash_info_t *info, uint offset)
wdenk5653fc32004-02-08 22:55:38 +0000287{
288 uchar *cp;
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +0100289 uchar retval;
wdenkbf9e3b32004-02-12 00:47:09 +0000290
Mario Six188a5562018-01-26 14:43:31 +0100291 cp = flash_map(info, 0, offset);
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +0200292#if defined(__LITTLE_ENDIAN) || defined(CONFIG_SYS_WRITE_SWAPPED_DATA)
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +0100293 retval = flash_read8(cp);
wdenkbf9e3b32004-02-12 00:47:09 +0000294#else
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +0100295 retval = flash_read8(cp + info->portwidth - 1);
wdenkbf9e3b32004-02-12 00:47:09 +0000296#endif
Mario Six188a5562018-01-26 14:43:31 +0100297 flash_unmap(info, 0, offset, cp);
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +0100298 return retval;
wdenk5653fc32004-02-08 22:55:38 +0000299}
300
301/*-----------------------------------------------------------------------
Tor Krill90447ec2008-03-28 11:29:10 +0100302 * read a word at a port width address, assume 16bit bus
303 */
Mario Sixca2b07a2018-01-26 14:43:32 +0100304static inline ushort flash_read_word(flash_info_t *info, uint offset)
Tor Krill90447ec2008-03-28 11:29:10 +0100305{
306 ushort *addr, retval;
307
Mario Six188a5562018-01-26 14:43:31 +0100308 addr = flash_map(info, 0, offset);
309 retval = flash_read16(addr);
310 flash_unmap(info, 0, offset, addr);
Tor Krill90447ec2008-03-28 11:29:10 +0100311 return retval;
312}
313
Tor Krill90447ec2008-03-28 11:29:10 +0100314/*-----------------------------------------------------------------------
Stefan Roese260421a2006-11-13 13:55:24 +0100315 * read a long word by picking the least significant byte of each maximum
wdenk5653fc32004-02-08 22:55:38 +0000316 * port size word. Swap for ppc format.
317 */
Mario Sixca2b07a2018-01-26 14:43:32 +0100318static ulong flash_read_long (flash_info_t *info, flash_sect_t sect,
Haavard Skinnemoen30557932007-12-13 12:56:29 +0100319 uint offset)
wdenk5653fc32004-02-08 22:55:38 +0000320{
wdenkbf9e3b32004-02-12 00:47:09 +0000321 uchar *addr;
322 ulong retval;
wdenk5653fc32004-02-08 22:55:38 +0000323
wdenkbf9e3b32004-02-12 00:47:09 +0000324#ifdef DEBUG
325 int x;
326#endif
Mario Six188a5562018-01-26 14:43:31 +0100327 addr = flash_map(info, sect, offset);
wdenkbf9e3b32004-02-12 00:47:09 +0000328
329#ifdef DEBUG
Mario Six188a5562018-01-26 14:43:31 +0100330 debug("long addr is at %p info->portwidth = %d\n", addr,
wdenkbf9e3b32004-02-12 00:47:09 +0000331 info->portwidth);
Mario Six0412e902018-01-26 14:43:38 +0100332 for (x = 0; x < 4 * info->portwidth; x++)
Mario Six188a5562018-01-26 14:43:31 +0100333 debug("addr[%x] = 0x%x\n", x, flash_read8(addr + x));
wdenkbf9e3b32004-02-12 00:47:09 +0000334#endif
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +0200335#if defined(__LITTLE_ENDIAN) || defined(CONFIG_SYS_WRITE_SWAPPED_DATA)
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +0100336 retval = ((flash_read8(addr) << 16) |
337 (flash_read8(addr + info->portwidth) << 24) |
338 (flash_read8(addr + 2 * info->portwidth)) |
339 (flash_read8(addr + 3 * info->portwidth) << 8));
wdenkbf9e3b32004-02-12 00:47:09 +0000340#else
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +0100341 retval = ((flash_read8(addr + 2 * info->portwidth - 1) << 24) |
342 (flash_read8(addr + info->portwidth - 1) << 16) |
343 (flash_read8(addr + 4 * info->portwidth - 1) << 8) |
344 (flash_read8(addr + 3 * info->portwidth - 1)));
wdenkbf9e3b32004-02-12 00:47:09 +0000345#endif
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +0100346 flash_unmap(info, sect, offset, addr);
347
wdenkbf9e3b32004-02-12 00:47:09 +0000348 return retval;
wdenk5653fc32004-02-08 22:55:38 +0000349}
350
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200351/*
352 * Write a proper sized command to the correct address
353 */
Marek Vasut236c49a2017-08-20 17:20:00 +0200354static void flash_write_cmd(flash_info_t *info, flash_sect_t sect,
355 uint offset, u32 cmd)
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200356{
Haavard Skinnemoencdbaefb2007-12-13 12:56:32 +0100357 void *addr;
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200358 cfiword_t cword;
359
Mario Six188a5562018-01-26 14:43:31 +0100360 addr = flash_map(info, sect, offset);
361 flash_make_cmd(info, cmd, &cword);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200362 switch (info->portwidth) {
363 case FLASH_CFI_8BIT:
Mario Six188a5562018-01-26 14:43:31 +0100364 debug("fwc addr %p cmd %x %x 8bit x %d bit\n", addr, cmd,
Ryan Harkin622b9522015-10-23 16:50:51 +0100365 cword.w8, info->chipwidth << CFI_FLASH_SHIFT_WIDTH);
366 flash_write8(cword.w8, addr);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200367 break;
368 case FLASH_CFI_16BIT:
Mario Six188a5562018-01-26 14:43:31 +0100369 debug("fwc addr %p cmd %x %4.4x 16bit x %d bit\n", addr,
Ryan Harkin622b9522015-10-23 16:50:51 +0100370 cmd, cword.w16,
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200371 info->chipwidth << CFI_FLASH_SHIFT_WIDTH);
Ryan Harkin622b9522015-10-23 16:50:51 +0100372 flash_write16(cword.w16, addr);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200373 break;
374 case FLASH_CFI_32BIT:
Mario Six188a5562018-01-26 14:43:31 +0100375 debug("fwc addr %p cmd %x %8.8x 32bit x %d bit\n", addr,
Ryan Harkin622b9522015-10-23 16:50:51 +0100376 cmd, cword.w32,
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200377 info->chipwidth << CFI_FLASH_SHIFT_WIDTH);
Ryan Harkin622b9522015-10-23 16:50:51 +0100378 flash_write32(cword.w32, addr);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200379 break;
380 case FLASH_CFI_64BIT:
381#ifdef DEBUG
382 {
383 char str[20];
384
Mario Six188a5562018-01-26 14:43:31 +0100385 print_longlong(str, cword.w64);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200386
Mario Six188a5562018-01-26 14:43:31 +0100387 debug("fwrite addr %p cmd %x %s 64 bit x %d bit\n",
Haavard Skinnemoencdbaefb2007-12-13 12:56:32 +0100388 addr, cmd, str,
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200389 info->chipwidth << CFI_FLASH_SHIFT_WIDTH);
390 }
391#endif
Ryan Harkin622b9522015-10-23 16:50:51 +0100392 flash_write64(cword.w64, addr);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200393 break;
394 }
395
396 /* Ensure all the instructions are fully finished */
397 sync();
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +0100398
399 flash_unmap(info, sect, offset, addr);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200400}
401
Mario Sixca2b07a2018-01-26 14:43:32 +0100402static void flash_unlock_seq(flash_info_t *info, flash_sect_t sect)
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200403{
Mario Six188a5562018-01-26 14:43:31 +0100404 flash_write_cmd(info, sect, info->addr_unlock1, AMD_CMD_UNLOCK_START);
405 flash_write_cmd(info, sect, info->addr_unlock2, AMD_CMD_UNLOCK_ACK);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200406}
407
408/*-----------------------------------------------------------------------
409 */
Mario Sixca2b07a2018-01-26 14:43:32 +0100410static int flash_isequal(flash_info_t *info, flash_sect_t sect,
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200411 uint offset, uchar cmd)
412{
Haavard Skinnemoencdbaefb2007-12-13 12:56:32 +0100413 void *addr;
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200414 cfiword_t cword;
415 int retval;
416
Mario Six188a5562018-01-26 14:43:31 +0100417 addr = flash_map(info, sect, offset);
418 flash_make_cmd(info, cmd, &cword);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200419
Mario Six188a5562018-01-26 14:43:31 +0100420 debug("is= cmd %x(%c) addr %p ", cmd, cmd, addr);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200421 switch (info->portwidth) {
422 case FLASH_CFI_8BIT:
Mario Six188a5562018-01-26 14:43:31 +0100423 debug("is= %x %x\n", flash_read8(addr), cword.w8);
Ryan Harkin622b9522015-10-23 16:50:51 +0100424 retval = (flash_read8(addr) == cword.w8);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200425 break;
426 case FLASH_CFI_16BIT:
Mario Six188a5562018-01-26 14:43:31 +0100427 debug("is= %4.4x %4.4x\n", flash_read16(addr), cword.w16);
Ryan Harkin622b9522015-10-23 16:50:51 +0100428 retval = (flash_read16(addr) == cword.w16);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200429 break;
430 case FLASH_CFI_32BIT:
Mario Six188a5562018-01-26 14:43:31 +0100431 debug("is= %8.8x %8.8x\n", flash_read32(addr), cword.w32);
Ryan Harkin622b9522015-10-23 16:50:51 +0100432 retval = (flash_read32(addr) == cword.w32);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200433 break;
434 case FLASH_CFI_64BIT:
435#ifdef DEBUG
436 {
437 char str1[20];
438 char str2[20];
439
Mario Six188a5562018-01-26 14:43:31 +0100440 print_longlong(str1, flash_read64(addr));
441 print_longlong(str2, cword.w64);
442 debug("is= %s %s\n", str1, str2);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200443 }
444#endif
Ryan Harkin622b9522015-10-23 16:50:51 +0100445 retval = (flash_read64(addr) == cword.w64);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200446 break;
447 default:
448 retval = 0;
449 break;
450 }
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +0100451 flash_unmap(info, sect, offset, addr);
452
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200453 return retval;
454}
455
456/*-----------------------------------------------------------------------
457 */
Mario Sixca2b07a2018-01-26 14:43:32 +0100458static int flash_isset(flash_info_t *info, flash_sect_t sect,
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200459 uint offset, uchar cmd)
460{
Haavard Skinnemoencdbaefb2007-12-13 12:56:32 +0100461 void *addr;
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200462 cfiword_t cword;
463 int retval;
464
Mario Six188a5562018-01-26 14:43:31 +0100465 addr = flash_map(info, sect, offset);
466 flash_make_cmd(info, cmd, &cword);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200467 switch (info->portwidth) {
468 case FLASH_CFI_8BIT:
Ryan Harkin622b9522015-10-23 16:50:51 +0100469 retval = ((flash_read8(addr) & cword.w8) == cword.w8);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200470 break;
471 case FLASH_CFI_16BIT:
Ryan Harkin622b9522015-10-23 16:50:51 +0100472 retval = ((flash_read16(addr) & cword.w16) == cword.w16);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200473 break;
474 case FLASH_CFI_32BIT:
Ryan Harkin622b9522015-10-23 16:50:51 +0100475 retval = ((flash_read32(addr) & cword.w32) == cword.w32);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200476 break;
477 case FLASH_CFI_64BIT:
Ryan Harkin622b9522015-10-23 16:50:51 +0100478 retval = ((flash_read64(addr) & cword.w64) == cword.w64);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200479 break;
480 default:
481 retval = 0;
482 break;
483 }
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +0100484 flash_unmap(info, sect, offset, addr);
485
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200486 return retval;
487}
488
489/*-----------------------------------------------------------------------
490 */
Mario Sixca2b07a2018-01-26 14:43:32 +0100491static int flash_toggle(flash_info_t *info, flash_sect_t sect,
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200492 uint offset, uchar cmd)
493{
Mario Six53128382018-01-26 14:43:49 +0100494 u8 *addr;
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200495 cfiword_t cword;
496 int retval;
497
Mario Six188a5562018-01-26 14:43:31 +0100498 addr = flash_map(info, sect, offset);
499 flash_make_cmd(info, cmd, &cword);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200500 switch (info->portwidth) {
501 case FLASH_CFI_8BIT:
Stefan Roesefb8c0612008-06-16 10:40:02 +0200502 retval = flash_read8(addr) != flash_read8(addr);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200503 break;
504 case FLASH_CFI_16BIT:
Stefan Roesefb8c0612008-06-16 10:40:02 +0200505 retval = flash_read16(addr) != flash_read16(addr);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200506 break;
507 case FLASH_CFI_32BIT:
Stefan Roesefb8c0612008-06-16 10:40:02 +0200508 retval = flash_read32(addr) != flash_read32(addr);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200509 break;
510 case FLASH_CFI_64BIT:
Mario Sixb1683862018-01-26 14:43:33 +0100511 retval = ((flash_read32(addr) != flash_read32(addr)) ||
Mario Six640f4e32018-01-26 14:43:36 +0100512 (flash_read32(addr + 4) != flash_read32(addr + 4)));
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200513 break;
514 default:
515 retval = 0;
516 break;
517 }
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +0100518 flash_unmap(info, sect, offset, addr);
519
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200520 return retval;
521}
522
523/*
524 * flash_is_busy - check to see if the flash is busy
525 *
526 * This routine checks the status of the chip and returns true if the
527 * chip is busy.
528 */
Mario Sixca2b07a2018-01-26 14:43:32 +0100529static int flash_is_busy(flash_info_t *info, flash_sect_t sect)
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200530{
531 int retval;
532
533 switch (info->vendor) {
Vasiliy Leoenenko9c048b52008-05-07 21:25:33 +0400534 case CFI_CMDSET_INTEL_PROG_REGIONS:
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200535 case CFI_CMDSET_INTEL_STANDARD:
536 case CFI_CMDSET_INTEL_EXTENDED:
Mario Six188a5562018-01-26 14:43:31 +0100537 retval = !flash_isset(info, sect, 0, FLASH_STATUS_DONE);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200538 break;
539 case CFI_CMDSET_AMD_STANDARD:
540 case CFI_CMDSET_AMD_EXTENDED:
Michael Schwingen81b20cc2007-12-07 23:35:02 +0100541#ifdef CONFIG_FLASH_CFI_LEGACY
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200542 case CFI_CMDSET_AMD_LEGACY:
543#endif
Marek Vasut72443c72017-09-12 19:09:31 +0200544 if (info->sr_supported) {
Mario Six188a5562018-01-26 14:43:31 +0100545 flash_write_cmd(info, sect, info->addr_unlock1,
Marek Vasut72443c72017-09-12 19:09:31 +0200546 FLASH_CMD_READ_STATUS);
Mario Six188a5562018-01-26 14:43:31 +0100547 retval = !flash_isset(info, sect, 0,
Marek Vasut72443c72017-09-12 19:09:31 +0200548 FLASH_STATUS_DONE);
549 } else {
Mario Six188a5562018-01-26 14:43:31 +0100550 retval = flash_toggle(info, sect, 0,
Marek Vasut72443c72017-09-12 19:09:31 +0200551 AMD_STATUS_TOGGLE);
552 }
553
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200554 break;
555 default:
556 retval = 0;
Michael Schwingen81b20cc2007-12-07 23:35:02 +0100557 }
Mario Six38d28312018-01-26 14:43:40 +0100558 debug("%s: %d\n", __func__, retval);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200559 return retval;
Michael Schwingen81b20cc2007-12-07 23:35:02 +0100560}
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200561
562/*-----------------------------------------------------------------------
563 * wait for XSR.7 to be set. Time out with an error if it does not.
564 * This routine does not set the flash to read-array mode.
565 */
Mario Sixca2b07a2018-01-26 14:43:32 +0100566static int flash_status_check(flash_info_t *info, flash_sect_t sector,
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200567 ulong tout, char *prompt)
568{
569 ulong start;
570
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +0200571#if CONFIG_SYS_HZ != 1000
Renato Andreolac40c94a2010-03-24 23:00:47 +0800572 if ((ulong)CONFIG_SYS_HZ > 100000)
573 tout *= (ulong)CONFIG_SYS_HZ / 1000; /* for a big HZ, avoid overflow */
574 else
575 tout = DIV_ROUND_UP(tout * (ulong)CONFIG_SYS_HZ, 1000);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200576#endif
577
578 /* Wait for command completion */
Graeme Russe110c4f2011-07-15 02:18:56 +0000579#ifdef CONFIG_SYS_LOW_RES_TIMER
Thomas Chou22d6c8f2010-04-01 11:15:05 +0800580 reset_timer();
Graeme Russe110c4f2011-07-15 02:18:56 +0000581#endif
Mario Six188a5562018-01-26 14:43:31 +0100582 start = get_timer(0);
Jens Scharsig (BuS Elektronik)a9f5fab2012-01-27 09:29:53 +0100583 WATCHDOG_RESET();
Mario Six188a5562018-01-26 14:43:31 +0100584 while (flash_is_busy(info, sector)) {
585 if (get_timer(start) > tout) {
586 printf("Flash %s timeout at address %lx data %lx\n",
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200587 prompt, info->start[sector],
Mario Six188a5562018-01-26 14:43:31 +0100588 flash_read_long(info, sector, 0));
589 flash_write_cmd(info, sector, 0, info->cmd_reset);
Stefan Roesee303be22013-04-12 19:04:54 +0200590 udelay(1);
Mario Six9dbaebc2018-01-26 14:43:52 +0100591 return ERR_TIMEOUT;
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200592 }
Mario Six188a5562018-01-26 14:43:31 +0100593 udelay(1); /* also triggers watchdog */
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200594 }
595 return ERR_OK;
596}
597
598/*-----------------------------------------------------------------------
599 * Wait for XSR.7 to be set, if it times out print an error, otherwise
600 * do a full status check.
601 *
602 * This routine sets the flash to read-array mode.
603 */
Mario Sixca2b07a2018-01-26 14:43:32 +0100604static int flash_full_status_check(flash_info_t *info, flash_sect_t sector,
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200605 ulong tout, char *prompt)
606{
607 int retcode;
608
Mario Six188a5562018-01-26 14:43:31 +0100609 retcode = flash_status_check(info, sector, tout, prompt);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200610 switch (info->vendor) {
Vasiliy Leoenenko9c048b52008-05-07 21:25:33 +0400611 case CFI_CMDSET_INTEL_PROG_REGIONS:
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200612 case CFI_CMDSET_INTEL_EXTENDED:
613 case CFI_CMDSET_INTEL_STANDARD:
Mario Six4f89da42018-01-26 14:43:42 +0100614 if (retcode == ERR_OK &&
Mario Six88ecd8b2018-01-26 14:43:39 +0100615 !flash_isset(info, sector, 0, FLASH_STATUS_DONE)) {
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200616 retcode = ERR_INVAL;
Mario Six188a5562018-01-26 14:43:31 +0100617 printf("Flash %s error at address %lx\n", prompt,
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200618 info->start[sector]);
Mario Six188a5562018-01-26 14:43:31 +0100619 if (flash_isset(info, sector, 0, FLASH_STATUS_ECLBS |
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200620 FLASH_STATUS_PSLBS)) {
Mario Six188a5562018-01-26 14:43:31 +0100621 puts("Command Sequence Error.\n");
622 } else if (flash_isset(info, sector, 0,
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200623 FLASH_STATUS_ECLBS)) {
Mario Six188a5562018-01-26 14:43:31 +0100624 puts("Block Erase Error.\n");
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200625 retcode = ERR_NOT_ERASED;
Mario Six188a5562018-01-26 14:43:31 +0100626 } else if (flash_isset(info, sector, 0,
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200627 FLASH_STATUS_PSLBS)) {
Mario Six188a5562018-01-26 14:43:31 +0100628 puts("Locking Error\n");
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200629 }
Mario Six188a5562018-01-26 14:43:31 +0100630 if (flash_isset(info, sector, 0, FLASH_STATUS_DPS)) {
631 puts("Block locked.\n");
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200632 retcode = ERR_PROTECTED;
633 }
Mario Six188a5562018-01-26 14:43:31 +0100634 if (flash_isset(info, sector, 0, FLASH_STATUS_VPENS))
635 puts("Vpp Low Error.\n");
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200636 }
Mario Six188a5562018-01-26 14:43:31 +0100637 flash_write_cmd(info, sector, 0, info->cmd_reset);
Aaron Williamsa90b9572011-04-12 00:59:04 -0700638 udelay(1);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200639 break;
640 default:
641 break;
642 }
643 return retcode;
644}
645
Thomas Choue5720822010-03-26 08:17:00 +0800646static int use_flash_status_poll(flash_info_t *info)
647{
648#ifdef CONFIG_SYS_CFI_FLASH_STATUS_POLL
649 if (info->vendor == CFI_CMDSET_AMD_EXTENDED ||
650 info->vendor == CFI_CMDSET_AMD_STANDARD)
651 return 1;
652#endif
653 return 0;
654}
655
656static int flash_status_poll(flash_info_t *info, void *src, void *dst,
657 ulong tout, char *prompt)
658{
659#ifdef CONFIG_SYS_CFI_FLASH_STATUS_POLL
660 ulong start;
661 int ready;
662
663#if CONFIG_SYS_HZ != 1000
664 if ((ulong)CONFIG_SYS_HZ > 100000)
665 tout *= (ulong)CONFIG_SYS_HZ / 1000; /* for a big HZ, avoid overflow */
666 else
667 tout = DIV_ROUND_UP(tout * (ulong)CONFIG_SYS_HZ, 1000);
668#endif
669
670 /* Wait for command completion */
Graeme Russe110c4f2011-07-15 02:18:56 +0000671#ifdef CONFIG_SYS_LOW_RES_TIMER
Thomas Chou22d6c8f2010-04-01 11:15:05 +0800672 reset_timer();
Graeme Russe110c4f2011-07-15 02:18:56 +0000673#endif
Thomas Choue5720822010-03-26 08:17:00 +0800674 start = get_timer(0);
Jens Scharsig (BuS Elektronik)a9f5fab2012-01-27 09:29:53 +0100675 WATCHDOG_RESET();
Thomas Choue5720822010-03-26 08:17:00 +0800676 while (1) {
677 switch (info->portwidth) {
678 case FLASH_CFI_8BIT:
679 ready = flash_read8(dst) == flash_read8(src);
680 break;
681 case FLASH_CFI_16BIT:
682 ready = flash_read16(dst) == flash_read16(src);
683 break;
684 case FLASH_CFI_32BIT:
685 ready = flash_read32(dst) == flash_read32(src);
686 break;
687 case FLASH_CFI_64BIT:
688 ready = flash_read64(dst) == flash_read64(src);
689 break;
690 default:
691 ready = 0;
692 break;
693 }
694 if (ready)
695 break;
696 if (get_timer(start) > tout) {
697 printf("Flash %s timeout at address %lx data %lx\n",
698 prompt, (ulong)dst, (ulong)flash_read8(dst));
Mario Six9dbaebc2018-01-26 14:43:52 +0100699 return ERR_TIMEOUT;
Thomas Choue5720822010-03-26 08:17:00 +0800700 }
701 udelay(1); /* also triggers watchdog */
702 }
703#endif /* CONFIG_SYS_CFI_FLASH_STATUS_POLL */
704 return ERR_OK;
705}
706
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200707/*-----------------------------------------------------------------------
708 */
Mario Sixca2b07a2018-01-26 14:43:32 +0100709static void flash_add_byte(flash_info_t *info, cfiword_t *cword, uchar c)
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200710{
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +0200711#if defined(__LITTLE_ENDIAN) && !defined(CONFIG_SYS_WRITE_SWAPPED_DATA)
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200712 unsigned short w;
713 unsigned int l;
714 unsigned long long ll;
715#endif
716
717 switch (info->portwidth) {
718 case FLASH_CFI_8BIT:
Ryan Harkin622b9522015-10-23 16:50:51 +0100719 cword->w8 = c;
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200720 break;
721 case FLASH_CFI_16BIT:
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +0200722#if defined(__LITTLE_ENDIAN) && !defined(CONFIG_SYS_WRITE_SWAPPED_DATA)
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200723 w = c;
724 w <<= 8;
Ryan Harkin622b9522015-10-23 16:50:51 +0100725 cword->w16 = (cword->w16 >> 8) | w;
Michael Schwingen81b20cc2007-12-07 23:35:02 +0100726#else
Ryan Harkin622b9522015-10-23 16:50:51 +0100727 cword->w16 = (cword->w16 << 8) | c;
Michael Schwingen81b20cc2007-12-07 23:35:02 +0100728#endif
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200729 break;
730 case FLASH_CFI_32BIT:
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +0200731#if defined(__LITTLE_ENDIAN) && !defined(CONFIG_SYS_WRITE_SWAPPED_DATA)
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200732 l = c;
733 l <<= 24;
Ryan Harkin622b9522015-10-23 16:50:51 +0100734 cword->w32 = (cword->w32 >> 8) | l;
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200735#else
Ryan Harkin622b9522015-10-23 16:50:51 +0100736 cword->w32 = (cword->w32 << 8) | c;
Stefan Roese2662b402006-04-01 13:41:03 +0200737#endif
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200738 break;
739 case FLASH_CFI_64BIT:
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +0200740#if defined(__LITTLE_ENDIAN) && !defined(CONFIG_SYS_WRITE_SWAPPED_DATA)
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200741 ll = c;
742 ll <<= 56;
Ryan Harkin622b9522015-10-23 16:50:51 +0100743 cword->w64 = (cword->w64 >> 8) | ll;
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200744#else
Ryan Harkin622b9522015-10-23 16:50:51 +0100745 cword->w64 = (cword->w64 << 8) | c;
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200746#endif
747 break;
wdenk5653fc32004-02-08 22:55:38 +0000748 }
wdenk5653fc32004-02-08 22:55:38 +0000749}
750
Jens Gehrlein0f8e8512008-12-16 17:25:55 +0100751/*
752 * Loop through the sector table starting from the previously found sector.
753 * Searches forwards or backwards, dependent on the passed address.
wdenk5653fc32004-02-08 22:55:38 +0000754 */
Mario Sixca2b07a2018-01-26 14:43:32 +0100755static flash_sect_t find_sector(flash_info_t *info, ulong addr)
wdenk7680c142005-05-16 15:23:22 +0000756{
Kim Phillips11dc4012012-10-29 13:34:45 +0000757 static flash_sect_t saved_sector; /* previously found sector */
Stefan Roesee303be22013-04-12 19:04:54 +0200758 static flash_info_t *saved_info; /* previously used flash bank */
Jens Gehrlein0f8e8512008-12-16 17:25:55 +0100759 flash_sect_t sector = saved_sector;
wdenk7680c142005-05-16 15:23:22 +0000760
Mario Six4f89da42018-01-26 14:43:42 +0100761 if (info != saved_info || sector >= info->sector_count)
Stefan Roesee303be22013-04-12 19:04:54 +0200762 sector = 0;
763
Mario Six88ecd8b2018-01-26 14:43:39 +0100764 while ((info->start[sector] < addr) &&
765 (sector < info->sector_count - 1))
Jens Gehrlein0f8e8512008-12-16 17:25:55 +0100766 sector++;
767 while ((info->start[sector] > addr) && (sector > 0))
768 /*
769 * also decrements the sector in case of an overshot
770 * in the first loop
771 */
772 sector--;
773
774 saved_sector = sector;
Stefan Roesee303be22013-04-12 19:04:54 +0200775 saved_info = info;
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200776 return sector;
wdenk7680c142005-05-16 15:23:22 +0000777}
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200778
779/*-----------------------------------------------------------------------
780 */
Mario Sixca2b07a2018-01-26 14:43:32 +0100781static int flash_write_cfiword(flash_info_t *info, ulong dest,
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200782 cfiword_t cword)
783{
Becky Bruce09ce9922009-02-02 16:34:51 -0600784 void *dstaddr = (void *)dest;
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200785 int flag;
Jens Gehrleina7292872008-12-16 17:25:54 +0100786 flash_sect_t sect = 0;
787 char sect_found = 0;
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200788
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200789 /* Check if Flash is (sufficiently) erased */
790 switch (info->portwidth) {
791 case FLASH_CFI_8BIT:
Ryan Harkin622b9522015-10-23 16:50:51 +0100792 flag = ((flash_read8(dstaddr) & cword.w8) == cword.w8);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200793 break;
794 case FLASH_CFI_16BIT:
Ryan Harkin622b9522015-10-23 16:50:51 +0100795 flag = ((flash_read16(dstaddr) & cword.w16) == cword.w16);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200796 break;
797 case FLASH_CFI_32BIT:
Ryan Harkin622b9522015-10-23 16:50:51 +0100798 flag = ((flash_read32(dstaddr) & cword.w32) == cword.w32);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200799 break;
800 case FLASH_CFI_64BIT:
Ryan Harkin622b9522015-10-23 16:50:51 +0100801 flag = ((flash_read64(dstaddr) & cword.w64) == cword.w64);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200802 break;
803 default:
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +0100804 flag = 0;
805 break;
806 }
Becky Bruce09ce9922009-02-02 16:34:51 -0600807 if (!flag)
Stefan Roese0dc80e22007-12-27 07:50:54 +0100808 return ERR_NOT_ERASED;
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200809
810 /* Disable interrupts which might cause a timeout here */
Mario Six188a5562018-01-26 14:43:31 +0100811 flag = disable_interrupts();
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200812
813 switch (info->vendor) {
Vasiliy Leoenenko9c048b52008-05-07 21:25:33 +0400814 case CFI_CMDSET_INTEL_PROG_REGIONS:
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200815 case CFI_CMDSET_INTEL_EXTENDED:
816 case CFI_CMDSET_INTEL_STANDARD:
Mario Six188a5562018-01-26 14:43:31 +0100817 flash_write_cmd(info, 0, 0, FLASH_CMD_CLEAR_STATUS);
818 flash_write_cmd(info, 0, 0, FLASH_CMD_WRITE);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200819 break;
820 case CFI_CMDSET_AMD_EXTENDED:
821 case CFI_CMDSET_AMD_STANDARD:
Ed Swarthout0d01f662008-10-09 01:26:36 -0500822 sect = find_sector(info, dest);
Mario Six188a5562018-01-26 14:43:31 +0100823 flash_unlock_seq(info, sect);
824 flash_write_cmd(info, sect, info->addr_unlock1, AMD_CMD_WRITE);
Jens Gehrleina7292872008-12-16 17:25:54 +0100825 sect_found = 1;
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200826 break;
Po-Yu Chuangb4db4a72009-07-10 18:03:57 +0800827#ifdef CONFIG_FLASH_CFI_LEGACY
828 case CFI_CMDSET_AMD_LEGACY:
829 sect = find_sector(info, dest);
Mario Six188a5562018-01-26 14:43:31 +0100830 flash_unlock_seq(info, 0);
831 flash_write_cmd(info, 0, info->addr_unlock1, AMD_CMD_WRITE);
Po-Yu Chuangb4db4a72009-07-10 18:03:57 +0800832 sect_found = 1;
833 break;
834#endif
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200835 }
836
837 switch (info->portwidth) {
838 case FLASH_CFI_8BIT:
Ryan Harkin622b9522015-10-23 16:50:51 +0100839 flash_write8(cword.w8, dstaddr);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200840 break;
841 case FLASH_CFI_16BIT:
Ryan Harkin622b9522015-10-23 16:50:51 +0100842 flash_write16(cword.w16, dstaddr);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200843 break;
844 case FLASH_CFI_32BIT:
Ryan Harkin622b9522015-10-23 16:50:51 +0100845 flash_write32(cword.w32, dstaddr);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200846 break;
847 case FLASH_CFI_64BIT:
Ryan Harkin622b9522015-10-23 16:50:51 +0100848 flash_write64(cword.w64, dstaddr);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200849 break;
850 }
851
852 /* re-enable interrupts if necessary */
853 if (flag)
Mario Six188a5562018-01-26 14:43:31 +0100854 enable_interrupts();
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200855
Jens Gehrleina7292872008-12-16 17:25:54 +0100856 if (!sect_found)
Mario Six188a5562018-01-26 14:43:31 +0100857 sect = find_sector(info, dest);
Jens Gehrleina7292872008-12-16 17:25:54 +0100858
Thomas Choue5720822010-03-26 08:17:00 +0800859 if (use_flash_status_poll(info))
860 return flash_status_poll(info, &cword, dstaddr,
861 info->write_tout, "write");
862 else
863 return flash_full_status_check(info, sect,
864 info->write_tout, "write");
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200865}
866
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +0200867#ifdef CONFIG_SYS_FLASH_USE_BUFFER_WRITE
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200868
Mario Sixca2b07a2018-01-26 14:43:32 +0100869static int flash_write_cfibuffer(flash_info_t *info, ulong dest, uchar *cp,
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200870 int len)
871{
872 flash_sect_t sector;
873 int cnt;
874 int retcode;
Mario Six53128382018-01-26 14:43:49 +0100875 u8 *src = cp;
876 u8 *dst = (u8 *)dest;
877 u8 *dst2 = dst;
Tao Hou85c344e2012-03-15 23:33:58 +0800878 int flag = 1;
Guennadi Liakhovetski96ef8312008-04-03 13:36:02 +0200879 uint offset = 0;
880 unsigned int shift;
Vasiliy Leoenenko9c048b52008-05-07 21:25:33 +0400881 uchar write_cmd;
Haavard Skinnemoencdbaefb2007-12-13 12:56:32 +0100882
Stefan Roese0dc80e22007-12-27 07:50:54 +0100883 switch (info->portwidth) {
884 case FLASH_CFI_8BIT:
Guennadi Liakhovetski96ef8312008-04-03 13:36:02 +0200885 shift = 0;
Stefan Roese0dc80e22007-12-27 07:50:54 +0100886 break;
887 case FLASH_CFI_16BIT:
Guennadi Liakhovetski96ef8312008-04-03 13:36:02 +0200888 shift = 1;
Stefan Roese0dc80e22007-12-27 07:50:54 +0100889 break;
890 case FLASH_CFI_32BIT:
Guennadi Liakhovetski96ef8312008-04-03 13:36:02 +0200891 shift = 2;
Stefan Roese0dc80e22007-12-27 07:50:54 +0100892 break;
893 case FLASH_CFI_64BIT:
Guennadi Liakhovetski96ef8312008-04-03 13:36:02 +0200894 shift = 3;
Stefan Roese0dc80e22007-12-27 07:50:54 +0100895 break;
896 default:
897 retcode = ERR_INVAL;
898 goto out_unmap;
899 }
900
Guennadi Liakhovetski96ef8312008-04-03 13:36:02 +0200901 cnt = len >> shift;
902
Tao Hou85c344e2012-03-15 23:33:58 +0800903 while ((cnt-- > 0) && (flag == 1)) {
Stefan Roese0dc80e22007-12-27 07:50:54 +0100904 switch (info->portwidth) {
905 case FLASH_CFI_8BIT:
906 flag = ((flash_read8(dst2) & flash_read8(src)) ==
907 flash_read8(src));
908 src += 1, dst2 += 1;
909 break;
910 case FLASH_CFI_16BIT:
911 flag = ((flash_read16(dst2) & flash_read16(src)) ==
912 flash_read16(src));
913 src += 2, dst2 += 2;
914 break;
915 case FLASH_CFI_32BIT:
916 flag = ((flash_read32(dst2) & flash_read32(src)) ==
917 flash_read32(src));
918 src += 4, dst2 += 4;
919 break;
920 case FLASH_CFI_64BIT:
921 flag = ((flash_read64(dst2) & flash_read64(src)) ==
922 flash_read64(src));
923 src += 8, dst2 += 8;
924 break;
925 }
926 }
927 if (!flag) {
928 retcode = ERR_NOT_ERASED;
929 goto out_unmap;
930 }
931
932 src = cp;
Mario Six188a5562018-01-26 14:43:31 +0100933 sector = find_sector(info, dest);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200934
935 switch (info->vendor) {
Vasiliy Leoenenko9c048b52008-05-07 21:25:33 +0400936 case CFI_CMDSET_INTEL_PROG_REGIONS:
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200937 case CFI_CMDSET_INTEL_STANDARD:
938 case CFI_CMDSET_INTEL_EXTENDED:
Vasiliy Leoenenko9c048b52008-05-07 21:25:33 +0400939 write_cmd = (info->vendor == CFI_CMDSET_INTEL_PROG_REGIONS) ?
940 FLASH_CMD_WRITE_BUFFER_PROG : FLASH_CMD_WRITE_TO_BUFFER;
Mario Six188a5562018-01-26 14:43:31 +0100941 flash_write_cmd(info, sector, 0, FLASH_CMD_CLEAR_STATUS);
942 flash_write_cmd(info, sector, 0, FLASH_CMD_READ_STATUS);
943 flash_write_cmd(info, sector, 0, write_cmd);
944 retcode = flash_status_check(info, sector,
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200945 info->buffer_write_tout,
946 "write to buffer");
947 if (retcode == ERR_OK) {
948 /* reduce the number of loops by the width of
Mario Sixa6d18f22018-01-26 14:43:41 +0100949 * the port
950 */
Guennadi Liakhovetski96ef8312008-04-03 13:36:02 +0200951 cnt = len >> shift;
Mario Six188a5562018-01-26 14:43:31 +0100952 flash_write_cmd(info, sector, 0, cnt - 1);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200953 while (cnt-- > 0) {
954 switch (info->portwidth) {
955 case FLASH_CFI_8BIT:
Haavard Skinnemoencdbaefb2007-12-13 12:56:32 +0100956 flash_write8(flash_read8(src), dst);
957 src += 1, dst += 1;
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200958 break;
959 case FLASH_CFI_16BIT:
Haavard Skinnemoencdbaefb2007-12-13 12:56:32 +0100960 flash_write16(flash_read16(src), dst);
961 src += 2, dst += 2;
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200962 break;
963 case FLASH_CFI_32BIT:
Haavard Skinnemoencdbaefb2007-12-13 12:56:32 +0100964 flash_write32(flash_read32(src), dst);
965 src += 4, dst += 4;
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200966 break;
967 case FLASH_CFI_64BIT:
Haavard Skinnemoencdbaefb2007-12-13 12:56:32 +0100968 flash_write64(flash_read64(src), dst);
969 src += 8, dst += 8;
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200970 break;
971 default:
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +0100972 retcode = ERR_INVAL;
973 goto out_unmap;
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200974 }
975 }
Mario Six188a5562018-01-26 14:43:31 +0100976 flash_write_cmd(info, sector, 0,
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200977 FLASH_CMD_WRITE_BUFFER_CONFIRM);
Mario Six188a5562018-01-26 14:43:31 +0100978 retcode = flash_full_status_check(
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200979 info, sector, info->buffer_write_tout,
980 "buffer write");
981 }
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +0100982
983 break;
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200984
985 case CFI_CMDSET_AMD_STANDARD:
986 case CFI_CMDSET_AMD_EXTENDED:
Rouven Behr7570a0c2016-04-10 13:38:13 +0200987 flash_unlock_seq(info, sector);
Guennadi Liakhovetski96ef8312008-04-03 13:36:02 +0200988
989#ifdef CONFIG_FLASH_SPANSION_S29WS_N
990 offset = ((unsigned long)dst - info->start[sector]) >> shift;
991#endif
992 flash_write_cmd(info, sector, offset, AMD_CMD_WRITE_TO_BUFFER);
993 cnt = len >> shift;
John Schmoller7dedefd2009-08-12 10:55:47 -0500994 flash_write_cmd(info, sector, offset, cnt - 1);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200995
996 switch (info->portwidth) {
997 case FLASH_CFI_8BIT:
Haavard Skinnemoencdbaefb2007-12-13 12:56:32 +0100998 while (cnt-- > 0) {
999 flash_write8(flash_read8(src), dst);
1000 src += 1, dst += 1;
1001 }
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +02001002 break;
1003 case FLASH_CFI_16BIT:
Haavard Skinnemoencdbaefb2007-12-13 12:56:32 +01001004 while (cnt-- > 0) {
1005 flash_write16(flash_read16(src), dst);
1006 src += 2, dst += 2;
1007 }
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +02001008 break;
1009 case FLASH_CFI_32BIT:
Haavard Skinnemoencdbaefb2007-12-13 12:56:32 +01001010 while (cnt-- > 0) {
1011 flash_write32(flash_read32(src), dst);
1012 src += 4, dst += 4;
1013 }
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +02001014 break;
1015 case FLASH_CFI_64BIT:
Haavard Skinnemoencdbaefb2007-12-13 12:56:32 +01001016 while (cnt-- > 0) {
1017 flash_write64(flash_read64(src), dst);
1018 src += 8, dst += 8;
1019 }
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +02001020 break;
1021 default:
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +01001022 retcode = ERR_INVAL;
1023 goto out_unmap;
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +02001024 }
1025
Mario Six188a5562018-01-26 14:43:31 +01001026 flash_write_cmd(info, sector, 0, AMD_CMD_WRITE_BUFFER_CONFIRM);
Thomas Choue5720822010-03-26 08:17:00 +08001027 if (use_flash_status_poll(info))
1028 retcode = flash_status_poll(info, src - (1 << shift),
1029 dst - (1 << shift),
1030 info->buffer_write_tout,
1031 "buffer write");
1032 else
1033 retcode = flash_full_status_check(info, sector,
1034 info->buffer_write_tout,
1035 "buffer write");
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +01001036 break;
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +02001037
1038 default:
Mario Six188a5562018-01-26 14:43:31 +01001039 debug("Unknown Command Set\n");
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +01001040 retcode = ERR_INVAL;
1041 break;
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +02001042 }
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +01001043
1044out_unmap:
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +01001045 return retcode;
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +02001046}
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +02001047#endif /* CONFIG_SYS_FLASH_USE_BUFFER_WRITE */
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +02001048
wdenk7680c142005-05-16 15:23:22 +00001049/*-----------------------------------------------------------------------
1050 */
Mario Sixca2b07a2018-01-26 14:43:32 +01001051int flash_erase(flash_info_t *info, int s_first, int s_last)
wdenk5653fc32004-02-08 22:55:38 +00001052{
1053 int rcode = 0;
1054 int prot;
1055 flash_sect_t sect;
Thomas Choue5720822010-03-26 08:17:00 +08001056 int st;
wdenk5653fc32004-02-08 22:55:38 +00001057
wdenkbf9e3b32004-02-12 00:47:09 +00001058 if (info->flash_id != FLASH_MAN_CFI) {
Mario Six188a5562018-01-26 14:43:31 +01001059 puts("Can't erase unknown flash type - aborted\n");
wdenk5653fc32004-02-08 22:55:38 +00001060 return 1;
1061 }
Mario Six4f89da42018-01-26 14:43:42 +01001062 if (s_first < 0 || s_first > s_last) {
Mario Six188a5562018-01-26 14:43:31 +01001063 puts("- no sectors to erase\n");
wdenk5653fc32004-02-08 22:55:38 +00001064 return 1;
1065 }
1066
1067 prot = 0;
Mario Six0412e902018-01-26 14:43:38 +01001068 for (sect = s_first; sect <= s_last; ++sect)
1069 if (info->protect[sect])
wdenk5653fc32004-02-08 22:55:38 +00001070 prot++;
wdenk5653fc32004-02-08 22:55:38 +00001071 if (prot) {
Mario Six188a5562018-01-26 14:43:31 +01001072 printf("- Warning: %d protected sectors will not be erased!\n",
Haavard Skinnemoen7e5b9b42007-12-13 12:56:28 +01001073 prot);
Piotr Ziecik6ea808e2008-11-17 15:49:32 +01001074 } else if (flash_verbose) {
Mario Six188a5562018-01-26 14:43:31 +01001075 putc('\n');
wdenk5653fc32004-02-08 22:55:38 +00001076 }
1077
wdenkbf9e3b32004-02-12 00:47:09 +00001078 for (sect = s_first; sect <= s_last; sect++) {
Joe Hershbergerde15a062012-08-17 15:36:41 -05001079 if (ctrlc()) {
1080 printf("\n");
1081 return 1;
1082 }
1083
wdenk5653fc32004-02-08 22:55:38 +00001084 if (info->protect[sect] == 0) { /* not protected */
Joe Hershberger6822a642012-08-17 15:36:40 -05001085#ifdef CONFIG_SYS_FLASH_CHECK_BLANK_BEFORE_ERASE
1086 int k;
1087 int size;
1088 int erased;
1089 u32 *flash;
1090
1091 /*
1092 * Check if whole sector is erased
1093 */
1094 size = flash_sector_size(info, sect);
1095 erased = 1;
1096 flash = (u32 *)info->start[sect];
1097 /* divide by 4 for longword access */
1098 size = size >> 2;
1099 for (k = 0; k < size; k++) {
1100 if (flash_read32(flash++) != 0xffffffff) {
1101 erased = 0;
1102 break;
1103 }
1104 }
1105 if (erased) {
1106 if (flash_verbose)
1107 putc(',');
1108 continue;
1109 }
1110#endif
wdenkbf9e3b32004-02-12 00:47:09 +00001111 switch (info->vendor) {
Vasiliy Leoenenko9c048b52008-05-07 21:25:33 +04001112 case CFI_CMDSET_INTEL_PROG_REGIONS:
wdenk5653fc32004-02-08 22:55:38 +00001113 case CFI_CMDSET_INTEL_STANDARD:
1114 case CFI_CMDSET_INTEL_EXTENDED:
Mario Six188a5562018-01-26 14:43:31 +01001115 flash_write_cmd(info, sect, 0,
Haavard Skinnemoen7e5b9b42007-12-13 12:56:28 +01001116 FLASH_CMD_CLEAR_STATUS);
Mario Six188a5562018-01-26 14:43:31 +01001117 flash_write_cmd(info, sect, 0,
Haavard Skinnemoen7e5b9b42007-12-13 12:56:28 +01001118 FLASH_CMD_BLOCK_ERASE);
Mario Six188a5562018-01-26 14:43:31 +01001119 flash_write_cmd(info, sect, 0,
Haavard Skinnemoen7e5b9b42007-12-13 12:56:28 +01001120 FLASH_CMD_ERASE_CONFIRM);
wdenk5653fc32004-02-08 22:55:38 +00001121 break;
1122 case CFI_CMDSET_AMD_STANDARD:
1123 case CFI_CMDSET_AMD_EXTENDED:
Mario Six188a5562018-01-26 14:43:31 +01001124 flash_unlock_seq(info, sect);
1125 flash_write_cmd(info, sect,
Haavard Skinnemoen7e5b9b42007-12-13 12:56:28 +01001126 info->addr_unlock1,
1127 AMD_CMD_ERASE_START);
Mario Six188a5562018-01-26 14:43:31 +01001128 flash_unlock_seq(info, sect);
1129 flash_write_cmd(info, sect, 0,
Angelo Dureghello07b2c5c2012-12-01 01:14:18 +01001130 info->cmd_erase_sector);
wdenk5653fc32004-02-08 22:55:38 +00001131 break;
Michael Schwingen81b20cc2007-12-07 23:35:02 +01001132#ifdef CONFIG_FLASH_CFI_LEGACY
1133 case CFI_CMDSET_AMD_LEGACY:
Mario Six188a5562018-01-26 14:43:31 +01001134 flash_unlock_seq(info, 0);
1135 flash_write_cmd(info, 0, info->addr_unlock1,
Haavard Skinnemoen7e5b9b42007-12-13 12:56:28 +01001136 AMD_CMD_ERASE_START);
Mario Six188a5562018-01-26 14:43:31 +01001137 flash_unlock_seq(info, 0);
1138 flash_write_cmd(info, sect, 0,
Haavard Skinnemoen7e5b9b42007-12-13 12:56:28 +01001139 AMD_CMD_ERASE_SECTOR);
Michael Schwingen81b20cc2007-12-07 23:35:02 +01001140 break;
1141#endif
wdenk5653fc32004-02-08 22:55:38 +00001142 default:
Mario Six9f720212018-01-26 14:43:44 +01001143 debug("Unknown flash vendor %d\n",
wdenkbf9e3b32004-02-12 00:47:09 +00001144 info->vendor);
wdenk5653fc32004-02-08 22:55:38 +00001145 break;
1146 }
1147
Thomas Choue5720822010-03-26 08:17:00 +08001148 if (use_flash_status_poll(info)) {
Kim Phillips11dc4012012-10-29 13:34:45 +00001149 cfiword_t cword;
Thomas Choue5720822010-03-26 08:17:00 +08001150 void *dest;
Mario Six7223a8c2018-01-26 14:43:37 +01001151
Ryan Harkin622b9522015-10-23 16:50:51 +01001152 cword.w64 = 0xffffffffffffffffULL;
Thomas Choue5720822010-03-26 08:17:00 +08001153 dest = flash_map(info, sect, 0);
1154 st = flash_status_poll(info, &cword, dest,
1155 info->erase_blk_tout, "erase");
1156 flash_unmap(info, sect, 0, dest);
Mario Six12d7fed2018-01-26 14:43:43 +01001157 } else {
Thomas Choue5720822010-03-26 08:17:00 +08001158 st = flash_full_status_check(info, sect,
1159 info->erase_blk_tout,
1160 "erase");
Mario Six12d7fed2018-01-26 14:43:43 +01001161 }
1162
Thomas Choue5720822010-03-26 08:17:00 +08001163 if (st)
wdenk5653fc32004-02-08 22:55:38 +00001164 rcode = 1;
Thomas Choue5720822010-03-26 08:17:00 +08001165 else if (flash_verbose)
Mario Six188a5562018-01-26 14:43:31 +01001166 putc('.');
wdenk5653fc32004-02-08 22:55:38 +00001167 }
1168 }
Piotr Ziecik6ea808e2008-11-17 15:49:32 +01001169
1170 if (flash_verbose)
Mario Six188a5562018-01-26 14:43:31 +01001171 puts(" done\n");
Piotr Ziecik6ea808e2008-11-17 15:49:32 +01001172
wdenk5653fc32004-02-08 22:55:38 +00001173 return rcode;
1174}
1175
Stefan Roese70084df2010-08-13 09:36:36 +02001176#ifdef CONFIG_SYS_FLASH_EMPTY_INFO
1177static int sector_erased(flash_info_t *info, int i)
1178{
1179 int k;
1180 int size;
Stefan Roese4d2ca9d2010-10-25 18:31:39 +02001181 u32 *flash;
Stefan Roese70084df2010-08-13 09:36:36 +02001182
1183 /*
1184 * Check if whole sector is erased
1185 */
1186 size = flash_sector_size(info, i);
Stefan Roese4d2ca9d2010-10-25 18:31:39 +02001187 flash = (u32 *)info->start[i];
Stefan Roese70084df2010-08-13 09:36:36 +02001188 /* divide by 4 for longword access */
1189 size = size >> 2;
1190
1191 for (k = 0; k < size; k++) {
Stefan Roese4d2ca9d2010-10-25 18:31:39 +02001192 if (flash_read32(flash++) != 0xffffffff)
Stefan Roese70084df2010-08-13 09:36:36 +02001193 return 0; /* not erased */
1194 }
1195
1196 return 1; /* erased */
1197}
1198#endif /* CONFIG_SYS_FLASH_EMPTY_INFO */
1199
Mario Sixca2b07a2018-01-26 14:43:32 +01001200void flash_print_info(flash_info_t *info)
wdenk5653fc32004-02-08 22:55:38 +00001201{
1202 int i;
1203
1204 if (info->flash_id != FLASH_MAN_CFI) {
Mario Six188a5562018-01-26 14:43:31 +01001205 puts("missing or unknown FLASH type\n");
wdenk5653fc32004-02-08 22:55:38 +00001206 return;
1207 }
1208
Mario Six188a5562018-01-26 14:43:31 +01001209 printf("%s flash (%d x %d)",
Michael Schwingen81b20cc2007-12-07 23:35:02 +01001210 info->name,
wdenkbf9e3b32004-02-12 00:47:09 +00001211 (info->portwidth << 3), (info->chipwidth << 3));
Mario Six640f4e32018-01-26 14:43:36 +01001212 if (info->size < 1024 * 1024)
Mario Six188a5562018-01-26 14:43:31 +01001213 printf(" Size: %ld kB in %d Sectors\n",
Michael Schwingen81b20cc2007-12-07 23:35:02 +01001214 info->size >> 10, info->sector_count);
1215 else
Mario Six188a5562018-01-26 14:43:31 +01001216 printf(" Size: %ld MB in %d Sectors\n",
Haavard Skinnemoen7e5b9b42007-12-13 12:56:28 +01001217 info->size >> 20, info->sector_count);
Mario Six188a5562018-01-26 14:43:31 +01001218 printf(" ");
Stefan Roese260421a2006-11-13 13:55:24 +01001219 switch (info->vendor) {
Mario Sixdde09132018-01-26 14:43:35 +01001220 case CFI_CMDSET_INTEL_PROG_REGIONS:
1221 printf("Intel Prog Regions");
1222 break;
1223 case CFI_CMDSET_INTEL_STANDARD:
1224 printf("Intel Standard");
1225 break;
1226 case CFI_CMDSET_INTEL_EXTENDED:
1227 printf("Intel Extended");
1228 break;
1229 case CFI_CMDSET_AMD_STANDARD:
1230 printf("AMD Standard");
1231 break;
1232 case CFI_CMDSET_AMD_EXTENDED:
1233 printf("AMD Extended");
1234 break;
Michael Schwingen81b20cc2007-12-07 23:35:02 +01001235#ifdef CONFIG_FLASH_CFI_LEGACY
Mario Sixdde09132018-01-26 14:43:35 +01001236 case CFI_CMDSET_AMD_LEGACY:
1237 printf("AMD Legacy");
1238 break;
Michael Schwingen81b20cc2007-12-07 23:35:02 +01001239#endif
Mario Sixdde09132018-01-26 14:43:35 +01001240 default:
1241 printf("Unknown (%d)", info->vendor);
1242 break;
Stefan Roese260421a2006-11-13 13:55:24 +01001243 }
Mario Six188a5562018-01-26 14:43:31 +01001244 printf(" command set, Manufacturer ID: 0x%02X, Device ID: 0x",
Philippe De Muyterd77c7ac2010-08-10 16:54:52 +02001245 info->manufacturer_id);
Mario Six188a5562018-01-26 14:43:31 +01001246 printf(info->chipwidth == FLASH_CFI_16BIT ? "%04X" : "%02X",
Philippe De Muyterd77c7ac2010-08-10 16:54:52 +02001247 info->device_id);
Heiko Schocher5b448ad2011-04-11 14:16:19 +02001248 if ((info->device_id & 0xff) == 0x7E) {
1249 printf(info->chipwidth == FLASH_CFI_16BIT ? "%04X" : "%02X",
1250 info->device_id2);
Stefan Roese260421a2006-11-13 13:55:24 +01001251 }
Mario Six4f89da42018-01-26 14:43:42 +01001252 if (info->vendor == CFI_CMDSET_AMD_STANDARD && info->legacy_unlock)
Stefan Roesed2af0282012-12-06 15:44:12 +01001253 printf("\n Advanced Sector Protection (PPB) enabled");
Mario Six188a5562018-01-26 14:43:31 +01001254 printf("\n Erase timeout: %ld ms, write timeout: %ld ms\n",
wdenk028ab6b2004-02-23 23:54:43 +00001255 info->erase_blk_tout,
Stefan Roese260421a2006-11-13 13:55:24 +01001256 info->write_tout);
1257 if (info->buffer_size > 1) {
Mario Six876c52f2018-01-26 14:43:50 +01001258 printf(" Buffer write timeout: %ld ms, ",
1259 info->buffer_write_tout);
1260 printf("buffer size: %d bytes\n", info->buffer_size);
Stefan Roese260421a2006-11-13 13:55:24 +01001261 }
wdenk5653fc32004-02-08 22:55:38 +00001262
Mario Six188a5562018-01-26 14:43:31 +01001263 puts("\n Sector Start Addresses:");
wdenkbf9e3b32004-02-12 00:47:09 +00001264 for (i = 0; i < info->sector_count; ++i) {
Kim Phillips2e973942010-07-26 18:35:39 -05001265 if (ctrlc())
Stefan Roese70084df2010-08-13 09:36:36 +02001266 break;
Stefan Roese260421a2006-11-13 13:55:24 +01001267 if ((i % 5) == 0)
Stefan Roese70084df2010-08-13 09:36:36 +02001268 putc('\n');
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +02001269#ifdef CONFIG_SYS_FLASH_EMPTY_INFO
wdenk5653fc32004-02-08 22:55:38 +00001270 /* print empty and read-only info */
Mario Six188a5562018-01-26 14:43:31 +01001271 printf(" %08lX %c %s ",
wdenk5653fc32004-02-08 22:55:38 +00001272 info->start[i],
Stefan Roese70084df2010-08-13 09:36:36 +02001273 sector_erased(info, i) ? 'E' : ' ',
Stefan Roese260421a2006-11-13 13:55:24 +01001274 info->protect[i] ? "RO" : " ");
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +02001275#else /* ! CONFIG_SYS_FLASH_EMPTY_INFO */
Mario Six188a5562018-01-26 14:43:31 +01001276 printf(" %08lX %s ",
Stefan Roese260421a2006-11-13 13:55:24 +01001277 info->start[i],
1278 info->protect[i] ? "RO" : " ");
wdenk5653fc32004-02-08 22:55:38 +00001279#endif
1280 }
Mario Six188a5562018-01-26 14:43:31 +01001281 putc('\n');
wdenk5653fc32004-02-08 22:55:38 +00001282}
1283
1284/*-----------------------------------------------------------------------
Jerry Van Baren9a042e92008-03-08 13:48:01 -05001285 * This is used in a few places in write_buf() to show programming
1286 * progress. Making it a function is nasty because it needs to do side
1287 * effect updates to digit and dots. Repeated code is nasty too, so
1288 * we define it once here.
1289 */
Stefan Roesef0105722008-03-19 07:09:26 +01001290#ifdef CONFIG_FLASH_SHOW_PROGRESS
1291#define FLASH_SHOW_PROGRESS(scale, dots, digit, dots_sub) \
Piotr Ziecik6ea808e2008-11-17 15:49:32 +01001292 if (flash_verbose) { \
1293 dots -= dots_sub; \
Mario Six4f89da42018-01-26 14:43:42 +01001294 if (scale > 0 && dots <= 0) { \
Piotr Ziecik6ea808e2008-11-17 15:49:32 +01001295 if ((digit % 5) == 0) \
Mario Six188a5562018-01-26 14:43:31 +01001296 printf("%d", digit / 5); \
Piotr Ziecik6ea808e2008-11-17 15:49:32 +01001297 else \
Mario Six188a5562018-01-26 14:43:31 +01001298 putc('.'); \
Piotr Ziecik6ea808e2008-11-17 15:49:32 +01001299 digit--; \
1300 dots += scale; \
1301 } \
Jerry Van Baren9a042e92008-03-08 13:48:01 -05001302 }
Stefan Roesef0105722008-03-19 07:09:26 +01001303#else
1304#define FLASH_SHOW_PROGRESS(scale, dots, digit, dots_sub)
1305#endif
Jerry Van Baren9a042e92008-03-08 13:48:01 -05001306
1307/*-----------------------------------------------------------------------
wdenk5653fc32004-02-08 22:55:38 +00001308 * Copy memory to flash, returns:
1309 * 0 - OK
1310 * 1 - write timeout
1311 * 2 - Flash not erased
1312 */
Mario Sixca2b07a2018-01-26 14:43:32 +01001313int write_buff(flash_info_t *info, uchar *src, ulong addr, ulong cnt)
wdenk5653fc32004-02-08 22:55:38 +00001314{
1315 ulong wp;
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +01001316 uchar *p;
wdenk5653fc32004-02-08 22:55:38 +00001317 int aln;
1318 cfiword_t cword;
1319 int i, rc;
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +02001320#ifdef CONFIG_SYS_FLASH_USE_BUFFER_WRITE
wdenkbf9e3b32004-02-12 00:47:09 +00001321 int buffered_size;
1322#endif
Jerry Van Baren9a042e92008-03-08 13:48:01 -05001323#ifdef CONFIG_FLASH_SHOW_PROGRESS
1324 int digit = CONFIG_FLASH_SHOW_PROGRESS;
1325 int scale = 0;
1326 int dots = 0;
1327
1328 /*
1329 * Suppress if there are fewer than CONFIG_FLASH_SHOW_PROGRESS writes.
1330 */
1331 if (cnt >= CONFIG_FLASH_SHOW_PROGRESS) {
1332 scale = (int)((cnt + CONFIG_FLASH_SHOW_PROGRESS - 1) /
1333 CONFIG_FLASH_SHOW_PROGRESS);
1334 }
1335#endif
1336
wdenkbf9e3b32004-02-12 00:47:09 +00001337 /* get lower aligned address */
wdenk5653fc32004-02-08 22:55:38 +00001338 wp = (addr & ~(info->portwidth - 1));
1339
1340 /* handle unaligned start */
Mario Sixd3525b62018-01-26 14:43:48 +01001341 aln = addr - wp;
1342 if (aln != 0) {
Ryan Harkin622b9522015-10-23 16:50:51 +01001343 cword.w32 = 0;
Becky Bruce09ce9922009-02-02 16:34:51 -06001344 p = (uchar *)wp;
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +01001345 for (i = 0; i < aln; ++i)
Mario Six188a5562018-01-26 14:43:31 +01001346 flash_add_byte(info, &cword, flash_read8(p + i));
wdenk5653fc32004-02-08 22:55:38 +00001347
wdenkbf9e3b32004-02-12 00:47:09 +00001348 for (; (i < info->portwidth) && (cnt > 0); i++) {
Mario Six188a5562018-01-26 14:43:31 +01001349 flash_add_byte(info, &cword, *src++);
wdenk5653fc32004-02-08 22:55:38 +00001350 cnt--;
wdenk5653fc32004-02-08 22:55:38 +00001351 }
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +01001352 for (; (cnt == 0) && (i < info->portwidth); ++i)
Mario Six188a5562018-01-26 14:43:31 +01001353 flash_add_byte(info, &cword, flash_read8(p + i));
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +01001354
Mario Six188a5562018-01-26 14:43:31 +01001355 rc = flash_write_cfiword(info, wp, cword);
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +01001356 if (rc != 0)
wdenk5653fc32004-02-08 22:55:38 +00001357 return rc;
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +01001358
1359 wp += i;
Stefan Roesef0105722008-03-19 07:09:26 +01001360 FLASH_SHOW_PROGRESS(scale, dots, digit, i);
wdenk5653fc32004-02-08 22:55:38 +00001361 }
1362
wdenkbf9e3b32004-02-12 00:47:09 +00001363 /* handle the aligned part */
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +02001364#ifdef CONFIG_SYS_FLASH_USE_BUFFER_WRITE
wdenkbf9e3b32004-02-12 00:47:09 +00001365 buffered_size = (info->portwidth / info->chipwidth);
1366 buffered_size *= info->buffer_size;
1367 while (cnt >= info->portwidth) {
Stefan Roese79b4cda2006-02-28 15:29:58 +01001368 /* prohibit buffer write when buffer_size is 1 */
1369 if (info->buffer_size == 1) {
Ryan Harkin622b9522015-10-23 16:50:51 +01001370 cword.w32 = 0;
Stefan Roese79b4cda2006-02-28 15:29:58 +01001371 for (i = 0; i < info->portwidth; i++)
Mario Six188a5562018-01-26 14:43:31 +01001372 flash_add_byte(info, &cword, *src++);
Mario Sixd3525b62018-01-26 14:43:48 +01001373 rc = flash_write_cfiword(info, wp, cword);
1374 if (rc != 0)
Stefan Roese79b4cda2006-02-28 15:29:58 +01001375 return rc;
1376 wp += info->portwidth;
1377 cnt -= info->portwidth;
1378 continue;
1379 }
1380
1381 /* write buffer until next buffered_size aligned boundary */
1382 i = buffered_size - (wp % buffered_size);
1383 if (i > cnt)
1384 i = cnt;
Mario Sixd3525b62018-01-26 14:43:48 +01001385 rc = flash_write_cfibuffer(info, wp, src, i);
1386 if (rc != ERR_OK)
wdenk5653fc32004-02-08 22:55:38 +00001387 return rc;
Wolfgang Denk8d4ba3d2005-08-12 22:35:59 +02001388 i -= i & (info->portwidth - 1);
wdenk5653fc32004-02-08 22:55:38 +00001389 wp += i;
1390 src += i;
wdenkbf9e3b32004-02-12 00:47:09 +00001391 cnt -= i;
Stefan Roesef0105722008-03-19 07:09:26 +01001392 FLASH_SHOW_PROGRESS(scale, dots, digit, i);
Joe Hershbergerde15a062012-08-17 15:36:41 -05001393 /* Only check every once in a while */
1394 if ((cnt & 0xFFFF) < buffered_size && ctrlc())
1395 return ERR_ABORTED;
wdenk5653fc32004-02-08 22:55:38 +00001396 }
1397#else
wdenkbf9e3b32004-02-12 00:47:09 +00001398 while (cnt >= info->portwidth) {
Ryan Harkin622b9522015-10-23 16:50:51 +01001399 cword.w32 = 0;
Mario Six0412e902018-01-26 14:43:38 +01001400 for (i = 0; i < info->portwidth; i++)
Mario Six188a5562018-01-26 14:43:31 +01001401 flash_add_byte(info, &cword, *src++);
Mario Sixd3525b62018-01-26 14:43:48 +01001402 rc = flash_write_cfiword(info, wp, cword);
1403 if (rc != 0)
wdenk5653fc32004-02-08 22:55:38 +00001404 return rc;
1405 wp += info->portwidth;
1406 cnt -= info->portwidth;
Stefan Roesef0105722008-03-19 07:09:26 +01001407 FLASH_SHOW_PROGRESS(scale, dots, digit, info->portwidth);
Joe Hershbergerde15a062012-08-17 15:36:41 -05001408 /* Only check every once in a while */
1409 if ((cnt & 0xFFFF) < info->portwidth && ctrlc())
1410 return ERR_ABORTED;
wdenk5653fc32004-02-08 22:55:38 +00001411 }
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +02001412#endif /* CONFIG_SYS_FLASH_USE_BUFFER_WRITE */
Jerry Van Baren9a042e92008-03-08 13:48:01 -05001413
Mario Six0412e902018-01-26 14:43:38 +01001414 if (cnt == 0)
wdenk5653fc32004-02-08 22:55:38 +00001415 return (0);
wdenk5653fc32004-02-08 22:55:38 +00001416
1417 /*
1418 * handle unaligned tail bytes
1419 */
Ryan Harkin622b9522015-10-23 16:50:51 +01001420 cword.w32 = 0;
Becky Bruce09ce9922009-02-02 16:34:51 -06001421 p = (uchar *)wp;
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +01001422 for (i = 0; (i < info->portwidth) && (cnt > 0); ++i) {
Mario Six188a5562018-01-26 14:43:31 +01001423 flash_add_byte(info, &cword, *src++);
wdenk5653fc32004-02-08 22:55:38 +00001424 --cnt;
1425 }
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +01001426 for (; i < info->portwidth; ++i)
Mario Six188a5562018-01-26 14:43:31 +01001427 flash_add_byte(info, &cword, flash_read8(p + i));
wdenk5653fc32004-02-08 22:55:38 +00001428
Mario Six188a5562018-01-26 14:43:31 +01001429 return flash_write_cfiword(info, wp, cword);
wdenk5653fc32004-02-08 22:55:38 +00001430}
1431
Stefan Roese20043a42012-12-06 15:44:09 +01001432static inline int manufact_match(flash_info_t *info, u32 manu)
1433{
1434 return info->manufacturer_id == ((manu & FLASH_VENDMASK) >> 16);
1435}
1436
wdenk5653fc32004-02-08 22:55:38 +00001437/*-----------------------------------------------------------------------
1438 */
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +02001439#ifdef CONFIG_SYS_FLASH_PROTECTION
wdenk5653fc32004-02-08 22:55:38 +00001440
Holger Brunck81316a92012-08-09 10:22:41 +02001441static int cfi_protect_bugfix(flash_info_t *info, long sector, int prot)
1442{
Mario Six88ecd8b2018-01-26 14:43:39 +01001443 if (manufact_match(info, INTEL_MANUFACT) &&
1444 info->device_id == NUMONYX_256MBIT) {
Holger Brunck81316a92012-08-09 10:22:41 +02001445 /*
1446 * see errata called
1447 * "Numonyx Axcell P33/P30 Specification Update" :)
1448 */
1449 flash_write_cmd(info, sector, 0, FLASH_CMD_READ_ID);
1450 if (!flash_isequal(info, sector, FLASH_OFFSET_PROTECT,
1451 prot)) {
1452 /*
1453 * cmd must come before FLASH_CMD_PROTECT + 20us
1454 * Disable interrupts which might cause a timeout here.
1455 */
1456 int flag = disable_interrupts();
1457 unsigned short cmd;
1458
1459 if (prot)
1460 cmd = FLASH_CMD_PROTECT_SET;
1461 else
1462 cmd = FLASH_CMD_PROTECT_CLEAR;
Andre Przywara58eab322016-11-16 00:50:06 +00001463
1464 flash_write_cmd(info, sector, 0, FLASH_CMD_PROTECT);
Holger Brunck81316a92012-08-09 10:22:41 +02001465 flash_write_cmd(info, sector, 0, cmd);
1466 /* re-enable interrupts if necessary */
1467 if (flag)
1468 enable_interrupts();
1469 }
1470 return 1;
1471 }
1472 return 0;
1473}
1474
Mario Sixca2b07a2018-01-26 14:43:32 +01001475int flash_real_protect(flash_info_t *info, long sector, int prot)
wdenk5653fc32004-02-08 22:55:38 +00001476{
1477 int retcode = 0;
1478
Rafael Camposbc9019e2008-07-31 10:22:20 +02001479 switch (info->vendor) {
Mario Sixdde09132018-01-26 14:43:35 +01001480 case CFI_CMDSET_INTEL_PROG_REGIONS:
1481 case CFI_CMDSET_INTEL_STANDARD:
1482 case CFI_CMDSET_INTEL_EXTENDED:
1483 if (!cfi_protect_bugfix(info, sector, prot)) {
1484 flash_write_cmd(info, sector, 0,
1485 FLASH_CMD_CLEAR_STATUS);
1486 flash_write_cmd(info, sector, 0,
1487 FLASH_CMD_PROTECT);
TsiChung Liew4e00acd2008-08-19 16:53:39 +00001488 if (prot)
Mario Sixdde09132018-01-26 14:43:35 +01001489 flash_write_cmd(info, sector, 0,
1490 FLASH_CMD_PROTECT_SET);
TsiChung Liew4e00acd2008-08-19 16:53:39 +00001491 else
Mario Sixdde09132018-01-26 14:43:35 +01001492 flash_write_cmd(info, sector, 0,
1493 FLASH_CMD_PROTECT_CLEAR);
Mario Sixdde09132018-01-26 14:43:35 +01001494 }
1495 break;
1496 case CFI_CMDSET_AMD_EXTENDED:
1497 case CFI_CMDSET_AMD_STANDARD:
1498 /* U-Boot only checks the first byte */
1499 if (manufact_match(info, ATM_MANUFACT)) {
1500 if (prot) {
1501 flash_unlock_seq(info, 0);
1502 flash_write_cmd(info, 0,
1503 info->addr_unlock1,
1504 ATM_CMD_SOFTLOCK_START);
1505 flash_unlock_seq(info, 0);
1506 flash_write_cmd(info, sector, 0,
1507 ATM_CMD_LOCK_SECT);
1508 } else {
1509 flash_write_cmd(info, 0,
1510 info->addr_unlock1,
1511 AMD_CMD_UNLOCK_START);
1512 if (info->device_id == ATM_ID_BV6416)
1513 flash_write_cmd(info, sector,
1514 0, ATM_CMD_UNLOCK_SECT);
1515 }
1516 }
1517 if (info->legacy_unlock) {
1518 int flag = disable_interrupts();
1519 int lock_flag;
1520
1521 flash_unlock_seq(info, 0);
1522 flash_write_cmd(info, 0, info->addr_unlock1,
1523 AMD_CMD_SET_PPB_ENTRY);
1524 lock_flag = flash_isset(info, sector, 0, 0x01);
1525 if (prot) {
1526 if (lock_flag) {
1527 flash_write_cmd(info, sector, 0,
1528 AMD_CMD_PPB_LOCK_BC1);
1529 flash_write_cmd(info, sector, 0,
1530 AMD_CMD_PPB_LOCK_BC2);
1531 }
1532 debug("sector %ld %slocked\n", sector,
1533 lock_flag ? "" : "already ");
1534 } else {
1535 if (!lock_flag) {
1536 debug("unlock %ld\n", sector);
1537 flash_write_cmd(info, 0, 0,
1538 AMD_CMD_PPB_UNLOCK_BC1);
1539 flash_write_cmd(info, 0, 0,
1540 AMD_CMD_PPB_UNLOCK_BC2);
1541 }
1542 debug("sector %ld %sunlocked\n", sector,
1543 !lock_flag ? "" : "already ");
1544 }
1545 if (flag)
1546 enable_interrupts();
1547
1548 if (flash_status_check(info, sector,
1549 info->erase_blk_tout,
1550 prot ? "protect" : "unprotect"))
1551 printf("status check error\n");
1552
1553 flash_write_cmd(info, 0, 0,
1554 AMD_CMD_SET_PPB_EXIT_BC1);
1555 flash_write_cmd(info, 0, 0,
1556 AMD_CMD_SET_PPB_EXIT_BC2);
1557 }
1558 break;
1559#ifdef CONFIG_FLASH_CFI_LEGACY
1560 case CFI_CMDSET_AMD_LEGACY:
1561 flash_write_cmd(info, sector, 0, FLASH_CMD_CLEAR_STATUS);
1562 flash_write_cmd(info, sector, 0, FLASH_CMD_PROTECT);
1563 if (prot)
1564 flash_write_cmd(info, sector, 0, FLASH_CMD_PROTECT_SET);
1565 else
1566 flash_write_cmd(info, sector, 0, FLASH_CMD_PROTECT_CLEAR);
TsiChung Liew4e00acd2008-08-19 16:53:39 +00001567#endif
Rafael Camposbc9019e2008-07-31 10:22:20 +02001568 };
wdenk5653fc32004-02-08 22:55:38 +00001569
Stefan Roesedf4e8132010-10-25 18:31:29 +02001570 /*
1571 * Flash needs to be in status register read mode for
1572 * flash_full_status_check() to work correctly
1573 */
1574 flash_write_cmd(info, sector, 0, FLASH_CMD_READ_STATUS);
Mario Sixd3525b62018-01-26 14:43:48 +01001575 retcode = flash_full_status_check(info, sector, info->erase_blk_tout,
1576 prot ? "protect" : "unprotect");
1577 if (retcode == 0) {
wdenk5653fc32004-02-08 22:55:38 +00001578 info->protect[sector] = prot;
Stefan Roese2662b402006-04-01 13:41:03 +02001579
1580 /*
1581 * On some of Intel's flash chips (marked via legacy_unlock)
1582 * unprotect unprotects all locking.
1583 */
Mario Six4f89da42018-01-26 14:43:42 +01001584 if (prot == 0 && info->legacy_unlock) {
wdenk5653fc32004-02-08 22:55:38 +00001585 flash_sect_t i;
wdenkbf9e3b32004-02-12 00:47:09 +00001586
1587 for (i = 0; i < info->sector_count; i++) {
1588 if (info->protect[i])
Mario Six188a5562018-01-26 14:43:31 +01001589 flash_real_protect(info, i, 1);
wdenk5653fc32004-02-08 22:55:38 +00001590 }
1591 }
1592 }
wdenk5653fc32004-02-08 22:55:38 +00001593 return retcode;
wdenkbf9e3b32004-02-12 00:47:09 +00001594}
1595
wdenk5653fc32004-02-08 22:55:38 +00001596/*-----------------------------------------------------------------------
1597 * flash_read_user_serial - read the OneTimeProgramming cells
1598 */
Mario Sixca2b07a2018-01-26 14:43:32 +01001599void flash_read_user_serial(flash_info_t *info, void *buffer, int offset,
wdenkbf9e3b32004-02-12 00:47:09 +00001600 int len)
wdenk5653fc32004-02-08 22:55:38 +00001601{
wdenkbf9e3b32004-02-12 00:47:09 +00001602 uchar *src;
1603 uchar *dst;
wdenk5653fc32004-02-08 22:55:38 +00001604
1605 dst = buffer;
Mario Six188a5562018-01-26 14:43:31 +01001606 src = flash_map(info, 0, FLASH_OFFSET_USER_PROTECTION);
1607 flash_write_cmd(info, 0, 0, FLASH_CMD_READ_ID);
1608 memcpy(dst, src + offset, len);
1609 flash_write_cmd(info, 0, 0, info->cmd_reset);
Aaron Williamsa90b9572011-04-12 00:59:04 -07001610 udelay(1);
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +01001611 flash_unmap(info, 0, FLASH_OFFSET_USER_PROTECTION, src);
wdenk5653fc32004-02-08 22:55:38 +00001612}
wdenkbf9e3b32004-02-12 00:47:09 +00001613
wdenk5653fc32004-02-08 22:55:38 +00001614/*
1615 * flash_read_factory_serial - read the device Id from the protection area
1616 */
Mario Sixca2b07a2018-01-26 14:43:32 +01001617void flash_read_factory_serial(flash_info_t *info, void *buffer, int offset,
wdenkbf9e3b32004-02-12 00:47:09 +00001618 int len)
wdenk5653fc32004-02-08 22:55:38 +00001619{
wdenkbf9e3b32004-02-12 00:47:09 +00001620 uchar *src;
wdenkcd37d9e2004-02-10 00:03:41 +00001621
Mario Six188a5562018-01-26 14:43:31 +01001622 src = flash_map(info, 0, FLASH_OFFSET_INTEL_PROTECTION);
1623 flash_write_cmd(info, 0, 0, FLASH_CMD_READ_ID);
1624 memcpy(buffer, src + offset, len);
1625 flash_write_cmd(info, 0, 0, info->cmd_reset);
Aaron Williamsa90b9572011-04-12 00:59:04 -07001626 udelay(1);
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +01001627 flash_unmap(info, 0, FLASH_OFFSET_INTEL_PROTECTION, src);
wdenk5653fc32004-02-08 22:55:38 +00001628}
1629
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +02001630#endif /* CONFIG_SYS_FLASH_PROTECTION */
wdenk5653fc32004-02-08 22:55:38 +00001631
Haavard Skinnemoen0ddf06d2007-12-14 15:36:17 +01001632/*-----------------------------------------------------------------------
1633 * Reverse the order of the erase regions in the CFI QRY structure.
1634 * This is needed for chips that are either a) correctly detected as
1635 * top-boot, or b) buggy.
1636 */
1637static void cfi_reverse_geometry(struct cfi_qry *qry)
1638{
1639 unsigned int i, j;
1640 u32 tmp;
1641
1642 for (i = 0, j = qry->num_erase_regions - 1; i < j; i++, j--) {
Mario Six4f89da42018-01-26 14:43:42 +01001643 tmp = get_unaligned(&qry->erase_region_info[i]);
1644 put_unaligned(get_unaligned(&qry->erase_region_info[j]),
1645 &qry->erase_region_info[i]);
1646 put_unaligned(tmp, &qry->erase_region_info[j]);
Haavard Skinnemoen0ddf06d2007-12-14 15:36:17 +01001647 }
1648}
wdenk5653fc32004-02-08 22:55:38 +00001649
1650/*-----------------------------------------------------------------------
Stefan Roese260421a2006-11-13 13:55:24 +01001651 * read jedec ids from device and set corresponding fields in info struct
1652 *
1653 * Note: assume cfi->vendor, cfi->portwidth and cfi->chipwidth are correct
1654 *
Haavard Skinnemoen0ddf06d2007-12-14 15:36:17 +01001655 */
1656static void cmdset_intel_read_jedec_ids(flash_info_t *info)
1657{
1658 flash_write_cmd(info, 0, 0, FLASH_CMD_RESET);
Aaron Williamsa90b9572011-04-12 00:59:04 -07001659 udelay(1);
Haavard Skinnemoen0ddf06d2007-12-14 15:36:17 +01001660 flash_write_cmd(info, 0, 0, FLASH_CMD_READ_ID);
1661 udelay(1000); /* some flash are slow to respond */
Mario Six188a5562018-01-26 14:43:31 +01001662 info->manufacturer_id = flash_read_uchar(info,
Haavard Skinnemoen0ddf06d2007-12-14 15:36:17 +01001663 FLASH_OFFSET_MANUFACTURER_ID);
Philippe De Muyterd77c7ac2010-08-10 16:54:52 +02001664 info->device_id = (info->chipwidth == FLASH_CFI_16BIT) ?
Mario Six188a5562018-01-26 14:43:31 +01001665 flash_read_word(info, FLASH_OFFSET_DEVICE_ID) :
1666 flash_read_uchar(info, FLASH_OFFSET_DEVICE_ID);
Haavard Skinnemoen0ddf06d2007-12-14 15:36:17 +01001667 flash_write_cmd(info, 0, 0, FLASH_CMD_RESET);
1668}
1669
1670static int cmdset_intel_init(flash_info_t *info, struct cfi_qry *qry)
1671{
1672 info->cmd_reset = FLASH_CMD_RESET;
1673
1674 cmdset_intel_read_jedec_ids(info);
1675 flash_write_cmd(info, 0, info->cfi_offset, FLASH_CMD_CFI);
1676
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +02001677#ifdef CONFIG_SYS_FLASH_PROTECTION
Haavard Skinnemoen0ddf06d2007-12-14 15:36:17 +01001678 /* read legacy lock/unlock bit from intel flash */
1679 if (info->ext_addr) {
Mario Six188a5562018-01-26 14:43:31 +01001680 info->legacy_unlock = flash_read_uchar(info,
Haavard Skinnemoen0ddf06d2007-12-14 15:36:17 +01001681 info->ext_addr + 5) & 0x08;
1682 }
1683#endif
1684
1685 return 0;
1686}
1687
1688static void cmdset_amd_read_jedec_ids(flash_info_t *info)
1689{
Mario Sixc8a9a822018-01-26 14:43:51 +01001690 ushort bank_id = 0;
1691 uchar manu_id;
York Sun2544f472017-11-18 11:09:08 -08001692 uchar feature;
Niklaus Giger3a7b2c22009-07-22 17:13:24 +02001693
Haavard Skinnemoen0ddf06d2007-12-14 15:36:17 +01001694 flash_write_cmd(info, 0, 0, AMD_CMD_RESET);
1695 flash_unlock_seq(info, 0);
1696 flash_write_cmd(info, 0, info->addr_unlock1, FLASH_CMD_READ_ID);
1697 udelay(1000); /* some flash are slow to respond */
Tor Krill90447ec2008-03-28 11:29:10 +01001698
Mario Sixc8a9a822018-01-26 14:43:51 +01001699 manu_id = flash_read_uchar(info, FLASH_OFFSET_MANUFACTURER_ID);
Niklaus Giger3a7b2c22009-07-22 17:13:24 +02001700 /* JEDEC JEP106Z specifies ID codes up to bank 7 */
Mario Sixc8a9a822018-01-26 14:43:51 +01001701 while (manu_id == FLASH_CONTINUATION_CODE && bank_id < 0x800) {
1702 bank_id += 0x100;
1703 manu_id = flash_read_uchar(info,
1704 bank_id | FLASH_OFFSET_MANUFACTURER_ID);
Niklaus Giger3a7b2c22009-07-22 17:13:24 +02001705 }
Mario Sixc8a9a822018-01-26 14:43:51 +01001706 info->manufacturer_id = manu_id;
Tor Krill90447ec2008-03-28 11:29:10 +01001707
York Sun2544f472017-11-18 11:09:08 -08001708 debug("info->ext_addr = 0x%x, cfi_version = 0x%x\n",
1709 info->ext_addr, info->cfi_version);
1710 if (info->ext_addr && info->cfi_version >= 0x3134) {
1711 /* read software feature (at 0x53) */
1712 feature = flash_read_uchar(info, info->ext_addr + 0x13);
1713 debug("feature = 0x%x\n", feature);
1714 info->sr_supported = feature & 0x1;
1715 }
Marek Vasut72443c72017-09-12 19:09:31 +02001716
Mario Sixb1683862018-01-26 14:43:33 +01001717 switch (info->chipwidth) {
Tor Krill90447ec2008-03-28 11:29:10 +01001718 case FLASH_CFI_8BIT:
Mario Six188a5562018-01-26 14:43:31 +01001719 info->device_id = flash_read_uchar(info,
Tor Krill90447ec2008-03-28 11:29:10 +01001720 FLASH_OFFSET_DEVICE_ID);
1721 if (info->device_id == 0x7E) {
1722 /* AMD 3-byte (expanded) device ids */
Mario Six188a5562018-01-26 14:43:31 +01001723 info->device_id2 = flash_read_uchar(info,
Tor Krill90447ec2008-03-28 11:29:10 +01001724 FLASH_OFFSET_DEVICE_ID2);
1725 info->device_id2 <<= 8;
Mario Six188a5562018-01-26 14:43:31 +01001726 info->device_id2 |= flash_read_uchar(info,
Tor Krill90447ec2008-03-28 11:29:10 +01001727 FLASH_OFFSET_DEVICE_ID3);
1728 }
1729 break;
1730 case FLASH_CFI_16BIT:
Mario Six188a5562018-01-26 14:43:31 +01001731 info->device_id = flash_read_word(info,
Tor Krill90447ec2008-03-28 11:29:10 +01001732 FLASH_OFFSET_DEVICE_ID);
Heiko Schocher5b448ad2011-04-11 14:16:19 +02001733 if ((info->device_id & 0xff) == 0x7E) {
1734 /* AMD 3-byte (expanded) device ids */
Mario Six188a5562018-01-26 14:43:31 +01001735 info->device_id2 = flash_read_uchar(info,
Heiko Schocher5b448ad2011-04-11 14:16:19 +02001736 FLASH_OFFSET_DEVICE_ID2);
1737 info->device_id2 <<= 8;
Mario Six188a5562018-01-26 14:43:31 +01001738 info->device_id2 |= flash_read_uchar(info,
Heiko Schocher5b448ad2011-04-11 14:16:19 +02001739 FLASH_OFFSET_DEVICE_ID3);
1740 }
Tor Krill90447ec2008-03-28 11:29:10 +01001741 break;
1742 default:
1743 break;
Haavard Skinnemoen0ddf06d2007-12-14 15:36:17 +01001744 }
1745 flash_write_cmd(info, 0, 0, AMD_CMD_RESET);
Aaron Williamsa90b9572011-04-12 00:59:04 -07001746 udelay(1);
Haavard Skinnemoen0ddf06d2007-12-14 15:36:17 +01001747}
1748
1749static int cmdset_amd_init(flash_info_t *info, struct cfi_qry *qry)
1750{
1751 info->cmd_reset = AMD_CMD_RESET;
Angelo Dureghello07b2c5c2012-12-01 01:14:18 +01001752 info->cmd_erase_sector = AMD_CMD_ERASE_SECTOR;
Haavard Skinnemoen0ddf06d2007-12-14 15:36:17 +01001753
1754 cmdset_amd_read_jedec_ids(info);
1755 flash_write_cmd(info, 0, info->cfi_offset, FLASH_CMD_CFI);
1756
Anatolij Gustschin66863b02012-08-09 08:18:12 +02001757#ifdef CONFIG_SYS_FLASH_PROTECTION
Stefan Roeseac6b9112012-12-06 15:44:11 +01001758 if (info->ext_addr) {
1759 /* read sector protect/unprotect scheme (at 0x49) */
1760 if (flash_read_uchar(info, info->ext_addr + 9) == 0x8)
Anatolij Gustschin66863b02012-08-09 08:18:12 +02001761 info->legacy_unlock = 1;
1762 }
1763#endif
1764
Haavard Skinnemoen0ddf06d2007-12-14 15:36:17 +01001765 return 0;
1766}
1767
1768#ifdef CONFIG_FLASH_CFI_LEGACY
Mario Sixca2b07a2018-01-26 14:43:32 +01001769static void flash_read_jedec_ids(flash_info_t *info)
Stefan Roese260421a2006-11-13 13:55:24 +01001770{
1771 info->manufacturer_id = 0;
1772 info->device_id = 0;
1773 info->device_id2 = 0;
1774
1775 switch (info->vendor) {
Vasiliy Leoenenko9c048b52008-05-07 21:25:33 +04001776 case CFI_CMDSET_INTEL_PROG_REGIONS:
Stefan Roese260421a2006-11-13 13:55:24 +01001777 case CFI_CMDSET_INTEL_STANDARD:
1778 case CFI_CMDSET_INTEL_EXTENDED:
Michael Schwingen8225d1e2008-01-12 20:29:47 +01001779 cmdset_intel_read_jedec_ids(info);
Stefan Roese260421a2006-11-13 13:55:24 +01001780 break;
1781 case CFI_CMDSET_AMD_STANDARD:
1782 case CFI_CMDSET_AMD_EXTENDED:
Michael Schwingen8225d1e2008-01-12 20:29:47 +01001783 cmdset_amd_read_jedec_ids(info);
Stefan Roese260421a2006-11-13 13:55:24 +01001784 break;
1785 default:
1786 break;
1787 }
1788}
1789
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +02001790/*-----------------------------------------------------------------------
1791 * Call board code to request info about non-CFI flash.
1792 * board_flash_get_legacy needs to fill in at least:
1793 * info->portwidth, info->chipwidth and info->interface for Jedec probing.
1794 */
Becky Bruce09ce9922009-02-02 16:34:51 -06001795static int flash_detect_legacy(phys_addr_t base, int banknum)
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +02001796{
1797 flash_info_t *info = &flash_info[banknum];
1798
1799 if (board_flash_get_legacy(base, banknum, info)) {
1800 /* board code may have filled info completely. If not, we
Mario Sixa6d18f22018-01-26 14:43:41 +01001801 * use JEDEC ID probing.
1802 */
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +02001803 if (!info->vendor) {
1804 int modes[] = {
1805 CFI_CMDSET_AMD_STANDARD,
1806 CFI_CMDSET_INTEL_STANDARD
1807 };
1808 int i;
1809
Axel Lin31bf0f52013-06-23 00:56:46 +08001810 for (i = 0; i < ARRAY_SIZE(modes); i++) {
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +02001811 info->vendor = modes[i];
Becky Bruce09ce9922009-02-02 16:34:51 -06001812 info->start[0] =
1813 (ulong)map_physmem(base,
Stefan Roesee1fb6d02009-02-05 11:44:52 +01001814 info->portwidth,
Becky Bruce09ce9922009-02-02 16:34:51 -06001815 MAP_NOCACHE);
Mario Six88ecd8b2018-01-26 14:43:39 +01001816 if (info->portwidth == FLASH_CFI_8BIT &&
1817 info->interface == FLASH_CFI_X8X16) {
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +02001818 info->addr_unlock1 = 0x2AAA;
1819 info->addr_unlock2 = 0x5555;
1820 } else {
1821 info->addr_unlock1 = 0x5555;
1822 info->addr_unlock2 = 0x2AAA;
1823 }
1824 flash_read_jedec_ids(info);
1825 debug("JEDEC PROBE: ID %x %x %x\n",
1826 info->manufacturer_id,
1827 info->device_id,
1828 info->device_id2);
Becky Bruce09ce9922009-02-02 16:34:51 -06001829 if (jedec_flash_match(info, info->start[0]))
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +02001830 break;
Mario Six98601372018-01-26 14:43:45 +01001831
1832 unmap_physmem((void *)info->start[0],
1833 info->portwidth);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +02001834 }
1835 }
1836
Mario Sixb1683862018-01-26 14:43:33 +01001837 switch (info->vendor) {
Vasiliy Leoenenko9c048b52008-05-07 21:25:33 +04001838 case CFI_CMDSET_INTEL_PROG_REGIONS:
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +02001839 case CFI_CMDSET_INTEL_STANDARD:
1840 case CFI_CMDSET_INTEL_EXTENDED:
1841 info->cmd_reset = FLASH_CMD_RESET;
1842 break;
1843 case CFI_CMDSET_AMD_STANDARD:
1844 case CFI_CMDSET_AMD_EXTENDED:
1845 case CFI_CMDSET_AMD_LEGACY:
1846 info->cmd_reset = AMD_CMD_RESET;
1847 break;
1848 }
1849 info->flash_id = FLASH_MAN_CFI;
1850 return 1;
1851 }
1852 return 0; /* use CFI */
1853}
1854#else
Becky Bruce09ce9922009-02-02 16:34:51 -06001855static inline int flash_detect_legacy(phys_addr_t base, int banknum)
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +02001856{
1857 return 0; /* use CFI */
1858}
1859#endif
1860
Stefan Roese260421a2006-11-13 13:55:24 +01001861/*-----------------------------------------------------------------------
wdenk5653fc32004-02-08 22:55:38 +00001862 * detect if flash is compatible with the Common Flash Interface (CFI)
1863 * http://www.jedec.org/download/search/jesd68.pdf
Haavard Skinnemoen7e5b9b42007-12-13 12:56:28 +01001864 */
Mario Six188a5562018-01-26 14:43:31 +01001865static void flash_read_cfi(flash_info_t *info, void *buf,
Haavard Skinnemoene23741f2007-12-14 15:36:16 +01001866 unsigned int start, size_t len)
1867{
1868 u8 *p = buf;
1869 unsigned int i;
1870
1871 for (i = 0; i < len; i++)
Stefan Roesee303be22013-04-12 19:04:54 +02001872 p[i] = flash_read_uchar(info, start + i);
Haavard Skinnemoene23741f2007-12-14 15:36:16 +01001873}
1874
Kim Phillips11dc4012012-10-29 13:34:45 +00001875static void __flash_cmd_reset(flash_info_t *info)
Stefan Roesefa36ae72009-10-27 15:15:55 +01001876{
1877 /*
1878 * We do not yet know what kind of commandset to use, so we issue
1879 * the reset command in both Intel and AMD variants, in the hope
1880 * that AMD flash roms ignore the Intel command.
1881 */
1882 flash_write_cmd(info, 0, 0, AMD_CMD_RESET);
Aaron Williamsa90b9572011-04-12 00:59:04 -07001883 udelay(1);
Stefan Roesefa36ae72009-10-27 15:15:55 +01001884 flash_write_cmd(info, 0, 0, FLASH_CMD_RESET);
1885}
Mario Six7223a8c2018-01-26 14:43:37 +01001886
Stefan Roesefa36ae72009-10-27 15:15:55 +01001887void flash_cmd_reset(flash_info_t *info)
Mario Six640f4e32018-01-26 14:43:36 +01001888 __attribute__((weak, alias("__flash_cmd_reset")));
Stefan Roesefa36ae72009-10-27 15:15:55 +01001889
Mario Sixca2b07a2018-01-26 14:43:32 +01001890static int __flash_detect_cfi(flash_info_t *info, struct cfi_qry *qry)
wdenk5653fc32004-02-08 22:55:38 +00001891{
Wolfgang Denk92eb7292006-12-27 01:26:13 +01001892 int cfi_offset;
Haavard Skinnemoen7e5b9b42007-12-13 12:56:28 +01001893
Stefan Roesee303be22013-04-12 19:04:54 +02001894 /* Issue FLASH reset command */
1895 flash_cmd_reset(info);
1896
Axel Lin31bf0f52013-06-23 00:56:46 +08001897 for (cfi_offset = 0; cfi_offset < ARRAY_SIZE(flash_offset_cfi);
Haavard Skinnemoen7e5b9b42007-12-13 12:56:28 +01001898 cfi_offset++) {
Mario Six188a5562018-01-26 14:43:31 +01001899 flash_write_cmd(info, 0, flash_offset_cfi[cfi_offset],
Haavard Skinnemoen7e5b9b42007-12-13 12:56:28 +01001900 FLASH_CMD_CFI);
Mario Six88ecd8b2018-01-26 14:43:39 +01001901 if (flash_isequal(info, 0, FLASH_OFFSET_CFI_RESP, 'Q') &&
1902 flash_isequal(info, 0, FLASH_OFFSET_CFI_RESP + 1, 'R') &&
1903 flash_isequal(info, 0, FLASH_OFFSET_CFI_RESP + 2, 'Y')) {
Haavard Skinnemoene23741f2007-12-14 15:36:16 +01001904 flash_read_cfi(info, qry, FLASH_OFFSET_CFI_RESP,
1905 sizeof(struct cfi_qry));
1906 info->interface = le16_to_cpu(qry->interface_desc);
Stefan Roesee303be22013-04-12 19:04:54 +02001907
Haavard Skinnemoen7e5b9b42007-12-13 12:56:28 +01001908 info->cfi_offset = flash_offset_cfi[cfi_offset];
Mario Six188a5562018-01-26 14:43:31 +01001909 debug("device interface is %d\n",
Haavard Skinnemoen7e5b9b42007-12-13 12:56:28 +01001910 info->interface);
Mario Six188a5562018-01-26 14:43:31 +01001911 debug("found port %d chip %d ",
Haavard Skinnemoen7e5b9b42007-12-13 12:56:28 +01001912 info->portwidth, info->chipwidth);
Mario Six188a5562018-01-26 14:43:31 +01001913 debug("port %d bits chip %d bits\n",
Haavard Skinnemoen7e5b9b42007-12-13 12:56:28 +01001914 info->portwidth << CFI_FLASH_SHIFT_WIDTH,
1915 info->chipwidth << CFI_FLASH_SHIFT_WIDTH);
1916
1917 /* calculate command offsets as in the Linux driver */
Stefan Roesee303be22013-04-12 19:04:54 +02001918 info->addr_unlock1 = 0x555;
1919 info->addr_unlock2 = 0x2aa;
Haavard Skinnemoen7e5b9b42007-12-13 12:56:28 +01001920
1921 /*
1922 * modify the unlock address if we are
1923 * in compatibility mode
1924 */
Mario Sixb1683862018-01-26 14:43:33 +01001925 if (/* x8/x16 in x8 mode */
Mario Six4f89da42018-01-26 14:43:42 +01001926 (info->chipwidth == FLASH_CFI_BY8 &&
1927 info->interface == FLASH_CFI_X8X16) ||
Mario Sixb1683862018-01-26 14:43:33 +01001928 /* x16/x32 in x16 mode */
Mario Six4f89da42018-01-26 14:43:42 +01001929 (info->chipwidth == FLASH_CFI_BY16 &&
Mario Six0cec0a12018-01-26 14:43:46 +01001930 info->interface == FLASH_CFI_X16X32)) {
Haavard Skinnemoen7e5b9b42007-12-13 12:56:28 +01001931 info->addr_unlock1 = 0xaaa;
1932 info->addr_unlock2 = 0x555;
1933 }
1934
1935 info->name = "CFI conformant";
1936 return 1;
1937 }
1938 }
1939
1940 return 0;
1941}
1942
Mario Sixca2b07a2018-01-26 14:43:32 +01001943static int flash_detect_cfi(flash_info_t *info, struct cfi_qry *qry)
Haavard Skinnemoen7e5b9b42007-12-13 12:56:28 +01001944{
Mario Six188a5562018-01-26 14:43:31 +01001945 debug("flash detect cfi\n");
wdenk5653fc32004-02-08 22:55:38 +00001946
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +02001947 for (info->portwidth = CONFIG_SYS_FLASH_CFI_WIDTH;
wdenkbf9e3b32004-02-12 00:47:09 +00001948 info->portwidth <= FLASH_CFI_64BIT; info->portwidth <<= 1) {
1949 for (info->chipwidth = FLASH_CFI_BY8;
1950 info->chipwidth <= info->portwidth;
Haavard Skinnemoen7e5b9b42007-12-13 12:56:28 +01001951 info->chipwidth <<= 1)
Stefan Roesee303be22013-04-12 19:04:54 +02001952 if (__flash_detect_cfi(info, qry))
Haavard Skinnemoen7e5b9b42007-12-13 12:56:28 +01001953 return 1;
wdenk5653fc32004-02-08 22:55:38 +00001954 }
Mario Six188a5562018-01-26 14:43:31 +01001955 debug("not found\n");
wdenk5653fc32004-02-08 22:55:38 +00001956 return 0;
1957}
wdenkbf9e3b32004-02-12 00:47:09 +00001958
wdenk5653fc32004-02-08 22:55:38 +00001959/*
Haavard Skinnemoen467bcee2007-12-14 15:36:18 +01001960 * Manufacturer-specific quirks. Add workarounds for geometry
1961 * reversal, etc. here.
1962 */
1963static void flash_fixup_amd(flash_info_t *info, struct cfi_qry *qry)
1964{
1965 /* check if flash geometry needs reversal */
1966 if (qry->num_erase_regions > 1) {
1967 /* reverse geometry if top boot part */
1968 if (info->cfi_version < 0x3131) {
1969 /* CFI < 1.1, try to guess from device id */
1970 if ((info->device_id & 0x80) != 0)
1971 cfi_reverse_geometry(qry);
Stefan Roesee303be22013-04-12 19:04:54 +02001972 } else if (flash_read_uchar(info, info->ext_addr + 0xf) == 3) {
Haavard Skinnemoen467bcee2007-12-14 15:36:18 +01001973 /* CFI >= 1.1, deduct from top/bottom flag */
1974 /* note: ext_addr is valid since cfi_version > 0 */
1975 cfi_reverse_geometry(qry);
1976 }
1977 }
1978}
1979
1980static void flash_fixup_atmel(flash_info_t *info, struct cfi_qry *qry)
1981{
1982 int reverse_geometry = 0;
1983
1984 /* Check the "top boot" bit in the PRI */
1985 if (info->ext_addr && !(flash_read_uchar(info, info->ext_addr + 6) & 1))
1986 reverse_geometry = 1;
1987
1988 /* AT49BV6416(T) list the erase regions in the wrong order.
1989 * However, the device ID is identical with the non-broken
Ulf Samuelssoncb82a532009-03-27 23:26:43 +01001990 * AT49BV642D they differ in the high byte.
Haavard Skinnemoen467bcee2007-12-14 15:36:18 +01001991 */
Haavard Skinnemoen467bcee2007-12-14 15:36:18 +01001992 if (info->device_id == 0xd6 || info->device_id == 0xd2)
1993 reverse_geometry = !reverse_geometry;
Haavard Skinnemoen467bcee2007-12-14 15:36:18 +01001994
1995 if (reverse_geometry)
1996 cfi_reverse_geometry(qry);
1997}
1998
Richard Retanubune8eac432009-01-14 08:44:26 -05001999static void flash_fixup_stm(flash_info_t *info, struct cfi_qry *qry)
2000{
2001 /* check if flash geometry needs reversal */
2002 if (qry->num_erase_regions > 1) {
2003 /* reverse geometry if top boot part */
2004 if (info->cfi_version < 0x3131) {
Mike Frysinger6a011ce2011-04-10 16:06:29 -04002005 /* CFI < 1.1, guess by device id */
2006 if (info->device_id == 0x22CA || /* M29W320DT */
2007 info->device_id == 0x2256 || /* M29W320ET */
2008 info->device_id == 0x22D7) { /* M29W800DT */
Richard Retanubune8eac432009-01-14 08:44:26 -05002009 cfi_reverse_geometry(qry);
2010 }
Mike Frysinger4c2105c2011-05-09 18:33:36 -04002011 } else if (flash_read_uchar(info, info->ext_addr + 0xf) == 3) {
2012 /* CFI >= 1.1, deduct from top/bottom flag */
2013 /* note: ext_addr is valid since cfi_version > 0 */
2014 cfi_reverse_geometry(qry);
Richard Retanubune8eac432009-01-14 08:44:26 -05002015 }
2016 }
2017}
2018
Angelo Dureghello07b2c5c2012-12-01 01:14:18 +01002019static void flash_fixup_sst(flash_info_t *info, struct cfi_qry *qry)
2020{
2021 /*
2022 * SST, for many recent nor parallel flashes, says they are
2023 * CFI-conformant. This is not true, since qry struct.
2024 * reports a std. AMD command set (0x0002), while SST allows to
2025 * erase two different sector sizes for the same memory.
2026 * 64KB sector (SST call it block) needs 0x30 to be erased.
2027 * 4KB sector (SST call it sector) needs 0x50 to be erased.
2028 * Since CFI query detect the 4KB number of sectors, users expects
2029 * a sector granularity of 4KB, and it is here set.
2030 */
2031 if (info->device_id == 0x5D23 || /* SST39VF3201B */
2032 info->device_id == 0x5C23) { /* SST39VF3202B */
2033 /* set sector granularity to 4KB */
Mario Six640f4e32018-01-26 14:43:36 +01002034 info->cmd_erase_sector = 0x50;
Angelo Dureghello07b2c5c2012-12-01 01:14:18 +01002035 }
2036}
2037
Jagannadha Sutradharudu Tekic5023212013-03-01 16:54:26 +05302038static void flash_fixup_num(flash_info_t *info, struct cfi_qry *qry)
2039{
2040 /*
2041 * The M29EW devices seem to report the CFI information wrong
2042 * when it's in 8 bit mode.
2043 * There's an app note from Numonyx on this issue.
2044 * So adjust the buffer size for M29EW while operating in 8-bit mode
2045 */
Mario Six4f89da42018-01-26 14:43:42 +01002046 if (qry->max_buf_write_size > 0x8 &&
2047 info->device_id == 0x7E &&
Jagannadha Sutradharudu Tekic5023212013-03-01 16:54:26 +05302048 (info->device_id2 == 0x2201 ||
2049 info->device_id2 == 0x2301 ||
2050 info->device_id2 == 0x2801 ||
2051 info->device_id2 == 0x4801)) {
Mario Six876c52f2018-01-26 14:43:50 +01002052 debug("Adjusted buffer size on Numonyx flash");
2053 debug(" M29EW family in 8 bit mode\n");
Jagannadha Sutradharudu Tekic5023212013-03-01 16:54:26 +05302054 qry->max_buf_write_size = 0x8;
2055 }
2056}
2057
Haavard Skinnemoen467bcee2007-12-14 15:36:18 +01002058/*
wdenk5653fc32004-02-08 22:55:38 +00002059 * The following code cannot be run from FLASH!
2060 *
2061 */
Mario Six188a5562018-01-26 14:43:31 +01002062ulong flash_get_size(phys_addr_t base, int banknum)
wdenk5653fc32004-02-08 22:55:38 +00002063{
wdenkbf9e3b32004-02-12 00:47:09 +00002064 flash_info_t *info = &flash_info[banknum];
wdenk5653fc32004-02-08 22:55:38 +00002065 int i, j;
2066 flash_sect_t sect_cnt;
Becky Bruce09ce9922009-02-02 16:34:51 -06002067 phys_addr_t sector;
wdenk5653fc32004-02-08 22:55:38 +00002068 unsigned long tmp;
2069 int size_ratio;
2070 uchar num_erase_regions;
wdenkbf9e3b32004-02-12 00:47:09 +00002071 int erase_region_size;
2072 int erase_region_count;
Haavard Skinnemoene23741f2007-12-14 15:36:16 +01002073 struct cfi_qry qry;
Anatolij Gustschin34bbb8f2010-11-28 02:13:33 +01002074 unsigned long max_size;
Stefan Roese260421a2006-11-13 13:55:24 +01002075
Kumar Galaf9796902008-05-15 15:13:08 -05002076 memset(&qry, 0, sizeof(qry));
2077
Stefan Roese260421a2006-11-13 13:55:24 +01002078 info->ext_addr = 0;
2079 info->cfi_version = 0;
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +02002080#ifdef CONFIG_SYS_FLASH_PROTECTION
Stefan Roese2662b402006-04-01 13:41:03 +02002081 info->legacy_unlock = 0;
2082#endif
wdenk5653fc32004-02-08 22:55:38 +00002083
Becky Bruce09ce9922009-02-02 16:34:51 -06002084 info->start[0] = (ulong)map_physmem(base, info->portwidth, MAP_NOCACHE);
wdenk5653fc32004-02-08 22:55:38 +00002085
Mario Six188a5562018-01-26 14:43:31 +01002086 if (flash_detect_cfi(info, &qry)) {
Mario Six4f89da42018-01-26 14:43:42 +01002087 info->vendor = le16_to_cpu(get_unaligned(&qry.p_id));
2088 info->ext_addr = le16_to_cpu(get_unaligned(&qry.p_adr));
Haavard Skinnemoene23741f2007-12-14 15:36:16 +01002089 num_erase_regions = qry.num_erase_regions;
2090
Stefan Roese260421a2006-11-13 13:55:24 +01002091 if (info->ext_addr) {
Mario Six640f4e32018-01-26 14:43:36 +01002092 info->cfi_version = (ushort)flash_read_uchar(info,
Stefan Roesee303be22013-04-12 19:04:54 +02002093 info->ext_addr + 3) << 8;
Mario Six640f4e32018-01-26 14:43:36 +01002094 info->cfi_version |= (ushort)flash_read_uchar(info,
Stefan Roesee303be22013-04-12 19:04:54 +02002095 info->ext_addr + 4);
Stefan Roese260421a2006-11-13 13:55:24 +01002096 }
Haavard Skinnemoen0ddf06d2007-12-14 15:36:17 +01002097
wdenkbf9e3b32004-02-12 00:47:09 +00002098#ifdef DEBUG
Mario Six188a5562018-01-26 14:43:31 +01002099 flash_printqry(&qry);
wdenkbf9e3b32004-02-12 00:47:09 +00002100#endif
Haavard Skinnemoen0ddf06d2007-12-14 15:36:17 +01002101
wdenkbf9e3b32004-02-12 00:47:09 +00002102 switch (info->vendor) {
Vasiliy Leoenenko9c048b52008-05-07 21:25:33 +04002103 case CFI_CMDSET_INTEL_PROG_REGIONS:
wdenk5653fc32004-02-08 22:55:38 +00002104 case CFI_CMDSET_INTEL_STANDARD:
2105 case CFI_CMDSET_INTEL_EXTENDED:
Haavard Skinnemoen0ddf06d2007-12-14 15:36:17 +01002106 cmdset_intel_init(info, &qry);
wdenk5653fc32004-02-08 22:55:38 +00002107 break;
2108 case CFI_CMDSET_AMD_STANDARD:
2109 case CFI_CMDSET_AMD_EXTENDED:
Haavard Skinnemoen0ddf06d2007-12-14 15:36:17 +01002110 cmdset_amd_init(info, &qry);
wdenk5653fc32004-02-08 22:55:38 +00002111 break;
Haavard Skinnemoen0ddf06d2007-12-14 15:36:17 +01002112 default:
2113 printf("CFI: Unknown command set 0x%x\n",
2114 info->vendor);
2115 /*
2116 * Unfortunately, this means we don't know how
2117 * to get the chip back to Read mode. Might
2118 * as well try an Intel-style reset...
2119 */
2120 flash_write_cmd(info, 0, 0, FLASH_CMD_RESET);
2121 return 0;
wdenk5653fc32004-02-08 22:55:38 +00002122 }
wdenkcd37d9e2004-02-10 00:03:41 +00002123
Haavard Skinnemoen467bcee2007-12-14 15:36:18 +01002124 /* Do manufacturer-specific fixups */
2125 switch (info->manufacturer_id) {
Mario Schuknecht2c9f48a2011-02-21 13:13:14 +01002126 case 0x0001: /* AMD */
2127 case 0x0037: /* AMIC */
Haavard Skinnemoen467bcee2007-12-14 15:36:18 +01002128 flash_fixup_amd(info, &qry);
2129 break;
2130 case 0x001f:
2131 flash_fixup_atmel(info, &qry);
2132 break;
Richard Retanubune8eac432009-01-14 08:44:26 -05002133 case 0x0020:
2134 flash_fixup_stm(info, &qry);
2135 break;
Angelo Dureghello07b2c5c2012-12-01 01:14:18 +01002136 case 0x00bf: /* SST */
2137 flash_fixup_sst(info, &qry);
2138 break;
Jagannadha Sutradharudu Tekic5023212013-03-01 16:54:26 +05302139 case 0x0089: /* Numonyx */
2140 flash_fixup_num(info, &qry);
2141 break;
Haavard Skinnemoen467bcee2007-12-14 15:36:18 +01002142 }
2143
Mario Six188a5562018-01-26 14:43:31 +01002144 debug("manufacturer is %d\n", info->vendor);
2145 debug("manufacturer id is 0x%x\n", info->manufacturer_id);
2146 debug("device id is 0x%x\n", info->device_id);
2147 debug("device id2 is 0x%x\n", info->device_id2);
2148 debug("cfi version is 0x%04x\n", info->cfi_version);
Stefan Roese260421a2006-11-13 13:55:24 +01002149
wdenk5653fc32004-02-08 22:55:38 +00002150 size_ratio = info->portwidth / info->chipwidth;
wdenkbf9e3b32004-02-12 00:47:09 +00002151 /* if the chip is x8/x16 reduce the ratio by half */
Mario Six4f89da42018-01-26 14:43:42 +01002152 if (info->interface == FLASH_CFI_X8X16 &&
2153 info->chipwidth == FLASH_CFI_BY8) {
wdenkbf9e3b32004-02-12 00:47:09 +00002154 size_ratio >>= 1;
2155 }
Mario Six188a5562018-01-26 14:43:31 +01002156 debug("size_ratio %d port %d bits chip %d bits\n",
wdenkbf9e3b32004-02-12 00:47:09 +00002157 size_ratio, info->portwidth << CFI_FLASH_SHIFT_WIDTH,
2158 info->chipwidth << CFI_FLASH_SHIFT_WIDTH);
Ilya Yanokec50a8e2010-10-21 17:20:12 +02002159 info->size = 1 << qry.dev_size;
2160 /* multiply the size by the number of chips */
2161 info->size *= size_ratio;
Anatolij Gustschin34bbb8f2010-11-28 02:13:33 +01002162 max_size = cfi_flash_bank_size(banknum);
Mario Six4f89da42018-01-26 14:43:42 +01002163 if (max_size && info->size > max_size) {
Ilya Yanokec50a8e2010-10-21 17:20:12 +02002164 debug("[truncated from %ldMiB]", info->size >> 20);
2165 info->size = max_size;
2166 }
Mario Six188a5562018-01-26 14:43:31 +01002167 debug("found %d erase regions\n", num_erase_regions);
wdenk5653fc32004-02-08 22:55:38 +00002168 sect_cnt = 0;
2169 sector = base;
wdenkbf9e3b32004-02-12 00:47:09 +00002170 for (i = 0; i < num_erase_regions; i++) {
2171 if (i > NUM_ERASE_REGIONS) {
Mario Six188a5562018-01-26 14:43:31 +01002172 printf("%d erase regions found, only %d used\n",
wdenk028ab6b2004-02-23 23:54:43 +00002173 num_erase_regions, NUM_ERASE_REGIONS);
wdenk5653fc32004-02-08 22:55:38 +00002174 break;
2175 }
Haavard Skinnemoene23741f2007-12-14 15:36:16 +01002176
Andrew Gabbasovaedadf12013-05-14 12:27:52 -05002177 tmp = le32_to_cpu(get_unaligned(
Mario Six4f89da42018-01-26 14:43:42 +01002178 &qry.erase_region_info[i]));
Haavard Skinnemoen0ddf06d2007-12-14 15:36:17 +01002179 debug("erase region %u: 0x%08lx\n", i, tmp);
Haavard Skinnemoene23741f2007-12-14 15:36:16 +01002180
2181 erase_region_count = (tmp & 0xffff) + 1;
2182 tmp >>= 16;
wdenkbf9e3b32004-02-12 00:47:09 +00002183 erase_region_size =
2184 (tmp & 0xffff) ? ((tmp & 0xffff) * 256) : 128;
Mario Six188a5562018-01-26 14:43:31 +01002185 debug("erase_region_count = %d erase_region_size = %d\n",
wdenk028ab6b2004-02-23 23:54:43 +00002186 erase_region_count, erase_region_size);
wdenkbf9e3b32004-02-12 00:47:09 +00002187 for (j = 0; j < erase_region_count; j++) {
Ilya Yanokec50a8e2010-10-21 17:20:12 +02002188 if (sector - base >= info->size)
2189 break;
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +02002190 if (sect_cnt >= CONFIG_SYS_MAX_FLASH_SECT) {
Michael Schwingen81b20cc2007-12-07 23:35:02 +01002191 printf("ERROR: too many flash sectors\n");
2192 break;
2193 }
Becky Bruce09ce9922009-02-02 16:34:51 -06002194 info->start[sect_cnt] =
2195 (ulong)map_physmem(sector,
2196 info->portwidth,
2197 MAP_NOCACHE);
wdenk5653fc32004-02-08 22:55:38 +00002198 sector += (erase_region_size * size_ratio);
wdenka1191902005-01-09 17:12:27 +00002199
2200 /*
Haavard Skinnemoen7e5b9b42007-12-13 12:56:28 +01002201 * Only read protection status from
2202 * supported devices (intel...)
wdenka1191902005-01-09 17:12:27 +00002203 */
2204 switch (info->vendor) {
Vasiliy Leoenenko9c048b52008-05-07 21:25:33 +04002205 case CFI_CMDSET_INTEL_PROG_REGIONS:
wdenka1191902005-01-09 17:12:27 +00002206 case CFI_CMDSET_INTEL_EXTENDED:
2207 case CFI_CMDSET_INTEL_STANDARD:
Stefan Roesedf4e8132010-10-25 18:31:29 +02002208 /*
2209 * Set flash to read-id mode. Otherwise
2210 * reading protected status is not
2211 * guaranteed.
2212 */
2213 flash_write_cmd(info, sect_cnt, 0,
2214 FLASH_CMD_READ_ID);
wdenka1191902005-01-09 17:12:27 +00002215 info->protect[sect_cnt] =
Mario Six188a5562018-01-26 14:43:31 +01002216 flash_isset(info, sect_cnt,
wdenka1191902005-01-09 17:12:27 +00002217 FLASH_OFFSET_PROTECT,
2218 FLASH_STATUS_PROTECT);
Vasily Khoruzhickedc498c2016-03-20 18:37:10 -07002219 flash_write_cmd(info, sect_cnt, 0,
2220 FLASH_CMD_RESET);
wdenka1191902005-01-09 17:12:27 +00002221 break;
Stefan Roese03deff42012-12-06 15:44:10 +01002222 case CFI_CMDSET_AMD_EXTENDED:
2223 case CFI_CMDSET_AMD_STANDARD:
Stefan Roeseac6b9112012-12-06 15:44:11 +01002224 if (!info->legacy_unlock) {
Stefan Roese03deff42012-12-06 15:44:10 +01002225 /* default: not protected */
2226 info->protect[sect_cnt] = 0;
2227 break;
2228 }
2229
2230 /* Read protection (PPB) from sector */
2231 flash_write_cmd(info, 0, 0,
2232 info->cmd_reset);
2233 flash_unlock_seq(info, 0);
2234 flash_write_cmd(info, 0,
2235 info->addr_unlock1,
2236 FLASH_CMD_READ_ID);
2237 info->protect[sect_cnt] =
2238 flash_isset(
2239 info, sect_cnt,
2240 FLASH_OFFSET_PROTECT,
2241 FLASH_STATUS_PROTECT);
2242 break;
wdenka1191902005-01-09 17:12:27 +00002243 default:
Haavard Skinnemoen7e5b9b42007-12-13 12:56:28 +01002244 /* default: not protected */
2245 info->protect[sect_cnt] = 0;
wdenka1191902005-01-09 17:12:27 +00002246 }
2247
wdenk5653fc32004-02-08 22:55:38 +00002248 sect_cnt++;
2249 }
2250 }
2251
2252 info->sector_count = sect_cnt;
Haavard Skinnemoene23741f2007-12-14 15:36:16 +01002253 info->buffer_size = 1 << le16_to_cpu(qry.max_buf_write_size);
2254 tmp = 1 << qry.block_erase_timeout_typ;
Haavard Skinnemoen7e5b9b42007-12-13 12:56:28 +01002255 info->erase_blk_tout = tmp *
Haavard Skinnemoene23741f2007-12-14 15:36:16 +01002256 (1 << qry.block_erase_timeout_max);
2257 tmp = (1 << qry.buf_write_timeout_typ) *
2258 (1 << qry.buf_write_timeout_max);
2259
Haavard Skinnemoen7e5b9b42007-12-13 12:56:28 +01002260 /* round up when converting to ms */
Haavard Skinnemoene23741f2007-12-14 15:36:16 +01002261 info->buffer_write_tout = (tmp + 999) / 1000;
2262 tmp = (1 << qry.word_write_timeout_typ) *
2263 (1 << qry.word_write_timeout_max);
Haavard Skinnemoen7e5b9b42007-12-13 12:56:28 +01002264 /* round up when converting to ms */
Haavard Skinnemoene23741f2007-12-14 15:36:16 +01002265 info->write_tout = (tmp + 999) / 1000;
wdenk5653fc32004-02-08 22:55:38 +00002266 info->flash_id = FLASH_MAN_CFI;
Mario Six4f89da42018-01-26 14:43:42 +01002267 if (info->interface == FLASH_CFI_X8X16 &&
2268 info->chipwidth == FLASH_CFI_BY8) {
Haavard Skinnemoen7e5b9b42007-12-13 12:56:28 +01002269 /* XXX - Need to test on x8/x16 in parallel. */
2270 info->portwidth >>= 1;
wdenk855a4962004-03-14 18:23:55 +00002271 }
Mike Frysinger22159872008-10-02 01:55:38 -04002272
Mario Six188a5562018-01-26 14:43:31 +01002273 flash_write_cmd(info, 0, 0, info->cmd_reset);
wdenk5653fc32004-02-08 22:55:38 +00002274 }
2275
wdenkbf9e3b32004-02-12 00:47:09 +00002276 return (info->size);
wdenk5653fc32004-02-08 22:55:38 +00002277}
2278
Mike Frysinger4ffeab22010-12-22 09:41:13 -05002279#ifdef CONFIG_FLASH_CFI_MTD
Piotr Ziecik6ea808e2008-11-17 15:49:32 +01002280void flash_set_verbose(uint v)
2281{
2282 flash_verbose = v;
2283}
Mike Frysinger4ffeab22010-12-22 09:41:13 -05002284#endif
Piotr Ziecik6ea808e2008-11-17 15:49:32 +01002285
Stefan Roese6f726f92010-10-25 18:31:48 +02002286static void cfi_flash_set_config_reg(u32 base, u16 val)
2287{
2288#ifdef CONFIG_SYS_CFI_FLASH_CONFIG_REGS
2289 /*
2290 * Only set this config register if really defined
2291 * to a valid value (0xffff is invalid)
2292 */
2293 if (val == 0xffff)
2294 return;
2295
2296 /*
2297 * Set configuration register. Data is "encrypted" in the 16 lower
2298 * address bits.
2299 */
2300 flash_write16(FLASH_CMD_SETUP, (void *)(base + (val << 1)));
2301 flash_write16(FLASH_CMD_SET_CR_CONFIRM, (void *)(base + (val << 1)));
2302
2303 /*
2304 * Finally issue reset-command to bring device back to
2305 * read-array mode
2306 */
2307 flash_write16(FLASH_CMD_RESET, (void *)base);
2308#endif
2309}
2310
wdenk5653fc32004-02-08 22:55:38 +00002311/*-----------------------------------------------------------------------
2312 */
Heiko Schocher6ee14162011-04-04 08:10:21 +02002313
Marek Vasut236c49a2017-08-20 17:20:00 +02002314static void flash_protect_default(void)
Heiko Schocher6ee14162011-04-04 08:10:21 +02002315{
Peter Tyser2c519832011-04-13 11:46:56 -05002316#if defined(CONFIG_SYS_FLASH_AUTOPROTECT_LIST)
2317 int i;
2318 struct apl_s {
2319 ulong start;
2320 ulong size;
2321 } apl[] = CONFIG_SYS_FLASH_AUTOPROTECT_LIST;
2322#endif
2323
Heiko Schocher6ee14162011-04-04 08:10:21 +02002324 /* Monitor protection ON by default */
2325#if (CONFIG_SYS_MONITOR_BASE >= CONFIG_SYS_FLASH_BASE) && \
2326 (!defined(CONFIG_MONITOR_IS_IN_RAM))
2327 flash_protect(FLAG_PROTECT_SET,
2328 CONFIG_SYS_MONITOR_BASE,
2329 CONFIG_SYS_MONITOR_BASE + monitor_flash_len - 1,
2330 flash_get_info(CONFIG_SYS_MONITOR_BASE));
2331#endif
2332
2333 /* Environment protection ON by default */
2334#ifdef CONFIG_ENV_IS_IN_FLASH
2335 flash_protect(FLAG_PROTECT_SET,
2336 CONFIG_ENV_ADDR,
2337 CONFIG_ENV_ADDR + CONFIG_ENV_SECT_SIZE - 1,
2338 flash_get_info(CONFIG_ENV_ADDR));
2339#endif
2340
2341 /* Redundant environment protection ON by default */
2342#ifdef CONFIG_ENV_ADDR_REDUND
2343 flash_protect(FLAG_PROTECT_SET,
2344 CONFIG_ENV_ADDR_REDUND,
2345 CONFIG_ENV_ADDR_REDUND + CONFIG_ENV_SECT_SIZE - 1,
2346 flash_get_info(CONFIG_ENV_ADDR_REDUND));
2347#endif
2348
2349#if defined(CONFIG_SYS_FLASH_AUTOPROTECT_LIST)
Axel Lin31bf0f52013-06-23 00:56:46 +08002350 for (i = 0; i < ARRAY_SIZE(apl); i++) {
Marek Vasut31d34142011-10-21 14:17:05 +00002351 debug("autoprotecting from %08lx to %08lx\n",
Heiko Schocher6ee14162011-04-04 08:10:21 +02002352 apl[i].start, apl[i].start + apl[i].size - 1);
2353 flash_protect(FLAG_PROTECT_SET,
2354 apl[i].start,
2355 apl[i].start + apl[i].size - 1,
2356 flash_get_info(apl[i].start));
2357 }
2358#endif
2359}
2360
Mario Six188a5562018-01-26 14:43:31 +01002361unsigned long flash_init(void)
wdenk5653fc32004-02-08 22:55:38 +00002362{
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +02002363 unsigned long size = 0;
2364 int i;
wdenk5653fc32004-02-08 22:55:38 +00002365
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +02002366#ifdef CONFIG_SYS_FLASH_PROTECTION
Eric Schumann3a3baf32009-03-21 09:59:34 -04002367 /* read environment from EEPROM */
2368 char s[64];
Mario Six7223a8c2018-01-26 14:43:37 +01002369
Simon Glass00caae62017-08-03 12:22:12 -06002370 env_get_f("unlock", s, sizeof(s));
Michael Schwingen81b20cc2007-12-07 23:35:02 +01002371#endif
wdenk5653fc32004-02-08 22:55:38 +00002372
Thomas Chouf1056912015-11-07 14:31:08 +08002373#ifdef CONFIG_CFI_FLASH /* for driver model */
2374 cfi_flash_init_dm();
2375#endif
2376
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +02002377 /* Init: no FLASHes known */
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +02002378 for (i = 0; i < CONFIG_SYS_MAX_FLASH_BANKS; ++i) {
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +02002379 flash_info[i].flash_id = FLASH_UNKNOWN;
wdenk5653fc32004-02-08 22:55:38 +00002380
Stefan Roese6f726f92010-10-25 18:31:48 +02002381 /* Optionally write flash configuration register */
2382 cfi_flash_set_config_reg(cfi_flash_bank_addr(i),
2383 cfi_flash_config_reg(i));
2384
Stefan Roeseb00e19c2010-08-30 10:11:51 +02002385 if (!flash_detect_legacy(cfi_flash_bank_addr(i), i))
Anatolij Gustschin34bbb8f2010-11-28 02:13:33 +01002386 flash_get_size(cfi_flash_bank_addr(i), i);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +02002387 size += flash_info[i].size;
2388 if (flash_info[i].flash_id == FLASH_UNKNOWN) {
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +02002389#ifndef CONFIG_SYS_FLASH_QUIET_TEST
Mario Six876c52f2018-01-26 14:43:50 +01002390 printf("## Unknown flash on Bank %d ", i + 1);
2391 printf("- Size = 0x%08lx = %ld MB\n",
2392 flash_info[i].size,
John Schmoller0e3fa012010-09-29 13:49:05 -05002393 flash_info[i].size >> 20);
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +02002394#endif /* CONFIG_SYS_FLASH_QUIET_TEST */
wdenk5653fc32004-02-08 22:55:38 +00002395 }
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +02002396#ifdef CONFIG_SYS_FLASH_PROTECTION
Jeroen Hofsteec15df212014-06-17 22:47:31 +02002397 else if (strcmp(s, "yes") == 0) {
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +02002398 /*
2399 * Only the U-Boot image and it's environment
2400 * is protected, all other sectors are
2401 * unprotected (unlocked) if flash hardware
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +02002402 * protection is used (CONFIG_SYS_FLASH_PROTECTION)
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +02002403 * and the environment variable "unlock" is
2404 * set to "yes".
2405 */
2406 if (flash_info[i].legacy_unlock) {
2407 int k;
Stefan Roese79b4cda2006-02-28 15:29:58 +01002408
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +02002409 /*
2410 * Disable legacy_unlock temporarily,
2411 * since flash_real_protect would
2412 * relock all other sectors again
2413 * otherwise.
2414 */
2415 flash_info[i].legacy_unlock = 0;
Stefan Roese79b4cda2006-02-28 15:29:58 +01002416
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +02002417 /*
2418 * Legacy unlocking (e.g. Intel J3) ->
2419 * unlock only one sector. This will
2420 * unlock all sectors.
2421 */
Mario Six188a5562018-01-26 14:43:31 +01002422 flash_real_protect(&flash_info[i], 0, 0);
Stefan Roese79b4cda2006-02-28 15:29:58 +01002423
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +02002424 flash_info[i].legacy_unlock = 1;
2425
2426 /*
2427 * Manually mark other sectors as
2428 * unlocked (unprotected)
2429 */
2430 for (k = 1; k < flash_info[i].sector_count; k++)
2431 flash_info[i].protect[k] = 0;
2432 } else {
2433 /*
2434 * No legancy unlocking -> unlock all sectors
2435 */
Mario Six188a5562018-01-26 14:43:31 +01002436 flash_protect(FLAG_PROTECT_CLEAR,
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +02002437 flash_info[i].start[0],
2438 flash_info[i].start[0]
2439 + flash_info[i].size - 1,
2440 &flash_info[i]);
2441 }
Stefan Roese79b4cda2006-02-28 15:29:58 +01002442 }
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +02002443#endif /* CONFIG_SYS_FLASH_PROTECTION */
wdenk5653fc32004-02-08 22:55:38 +00002444 }
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +02002445
Heiko Schocher6ee14162011-04-04 08:10:21 +02002446 flash_protect_default();
Piotr Ziecik91809ed2008-11-17 15:57:58 +01002447#ifdef CONFIG_FLASH_CFI_MTD
2448 cfi_mtd_init();
2449#endif
2450
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +02002451 return (size);
wdenk5653fc32004-02-08 22:55:38 +00002452}
Thomas Chouf1056912015-11-07 14:31:08 +08002453
2454#ifdef CONFIG_CFI_FLASH /* for driver model */
2455static int cfi_flash_probe(struct udevice *dev)
2456{
2457 void *blob = (void *)gd->fdt_blob;
Simon Glasse160f7d2017-01-17 16:52:55 -07002458 int node = dev_of_offset(dev);
Thomas Chouf1056912015-11-07 14:31:08 +08002459 const fdt32_t *cell;
2460 phys_addr_t addr;
2461 int parent, addrc, sizec;
2462 int len, idx;
2463
2464 parent = fdt_parent_offset(blob, node);
Simon Glasseed36602017-05-18 20:09:26 -06002465 fdt_support_default_count_cells(blob, parent, &addrc, &sizec);
Thomas Chouf1056912015-11-07 14:31:08 +08002466 /* decode regs, there may be multiple reg tuples. */
2467 cell = fdt_getprop(blob, node, "reg", &len);
2468 if (!cell)
2469 return -ENOENT;
2470 idx = 0;
2471 len /= sizeof(fdt32_t);
2472 while (idx < len) {
2473 addr = fdt_translate_address((void *)blob,
2474 node, cell + idx);
Marek Vasut1ec0a372017-09-12 19:09:08 +02002475 flash_info[cfi_flash_num_flash_banks].dev = dev;
2476 flash_info[cfi_flash_num_flash_banks].base = addr;
2477 cfi_flash_num_flash_banks++;
Thomas Chouf1056912015-11-07 14:31:08 +08002478 idx += addrc + sizec;
2479 }
Marek Vasut1ec0a372017-09-12 19:09:08 +02002480 gd->bd->bi_flashstart = flash_info[0].base;
Thomas Chouf1056912015-11-07 14:31:08 +08002481
2482 return 0;
2483}
2484
2485static const struct udevice_id cfi_flash_ids[] = {
2486 { .compatible = "cfi-flash" },
2487 { .compatible = "jedec-flash" },
2488 {}
2489};
2490
2491U_BOOT_DRIVER(cfi_flash) = {
2492 .name = "cfi_flash",
2493 .id = UCLASS_MTD,
2494 .of_match = cfi_flash_ids,
2495 .probe = cfi_flash_probe,
2496};
2497#endif /* CONFIG_CFI_FLASH */