blob: f5468353e101bc8626515064f197fb02a7a5e0d3 [file] [log] [blame]
Tom Rini83d290c2018-05-06 17:58:06 -04001// SPDX-License-Identifier: GPL-2.0+
wdenk3d3befa2004-03-14 15:06:13 +00002/*
3 * (C) Copyright 2000
4 * Rob Taylor, Flying Pig Systems. robt@flyingpig.com.
5 *
6 * (C) Copyright 2004
7 * ARM Ltd.
8 * Philippe Robin, <philippe.robin@arm.com>
wdenk3d3befa2004-03-14 15:06:13 +00009 */
10
Andreas Engel48d01922008-09-08 14:30:53 +020011/* Simple U-Boot driver for the PrimeCell PL010/PL011 UARTs */
wdenk3d3befa2004-03-14 15:06:13 +000012
13#include <common.h>
Simon Glass401d1c42020-10-30 21:38:53 -060014#include <asm/global_data.h>
Andre Przywarae3e2d662020-04-27 19:17:59 +010015/* For get_bus_freq() */
16#include <clock_legacy.h>
Simon Glass8a9cd5a2014-09-22 17:30:58 -060017#include <dm.h>
Andre Przywarae3e2d662020-04-27 19:17:59 +010018#include <clk.h>
Simon Glassaed2fbe2014-09-22 17:30:57 -060019#include <errno.h>
Stuart Wood8b616ed2008-06-02 16:42:19 -040020#include <watchdog.h>
Matt Waddel249d5212010-10-07 15:48:46 -060021#include <asm/io.h>
Marek Vasut39f61472012-09-14 22:38:46 +020022#include <serial.h>
Michal Simek6c9662d2020-10-13 15:00:24 +020023#include <dm/device_compat.h>
Masahiro Yamada86256b72014-10-24 12:41:19 +090024#include <dm/platform_data/serial_pl01x.h>
Marek Vasut39f61472012-09-14 22:38:46 +020025#include <linux/compiler.h>
Simon Glassaed2fbe2014-09-22 17:30:57 -060026#include "serial_pl01x_internal.h"
Vikas Manocha69751722015-05-06 11:46:29 -070027
28DECLARE_GLOBAL_DATA_PTR;
wdenk3d3befa2004-03-14 15:06:13 +000029
Tom Rini0478dac2022-12-04 10:14:13 -050030#if !CONFIG_IS_ENABLED(DM_SERIAL)
Tom Rinib8615742022-12-04 10:13:31 -050031static volatile unsigned char *const port[] = CFG_PL01x_PORTS;
Marek Behún236f2ec2021-05-20 13:23:52 +020032static enum pl01x_type pl01x_type __section(".data");
33static struct pl01x_regs *base_regs __section(".data");
wdenk6705d812004-08-02 23:22:59 +000034#define NUM_PORTS (sizeof(port)/sizeof(port[0]))
wdenk3d3befa2004-03-14 15:06:13 +000035
Simon Glass8a9cd5a2014-09-22 17:30:58 -060036#endif
wdenk3d3befa2004-03-14 15:06:13 +000037
Simon Glassaed2fbe2014-09-22 17:30:57 -060038static int pl01x_putc(struct pl01x_regs *regs, char c)
Rabin Vincent72d5e442010-05-05 09:23:07 +053039{
wdenk42dfe7a2004-03-14 22:25:36 +000040 /* Wait until there is space in the FIFO */
Simon Glassaed2fbe2014-09-22 17:30:57 -060041 if (readl(&regs->fr) & UART_PL01x_FR_TXFF)
42 return -EAGAIN;
wdenk42dfe7a2004-03-14 22:25:36 +000043
44 /* Send the character */
Rabin Vincent72d5e442010-05-05 09:23:07 +053045 writel(c, &regs->dr);
Simon Glassaed2fbe2014-09-22 17:30:57 -060046
47 return 0;
wdenk3d3befa2004-03-14 15:06:13 +000048}
49
Simon Glassaed2fbe2014-09-22 17:30:57 -060050static int pl01x_getc(struct pl01x_regs *regs)
wdenk3d3befa2004-03-14 15:06:13 +000051{
wdenk42dfe7a2004-03-14 22:25:36 +000052 unsigned int data;
wdenk3d3befa2004-03-14 15:06:13 +000053
wdenk42dfe7a2004-03-14 22:25:36 +000054 /* Wait until there is data in the FIFO */
Simon Glassaed2fbe2014-09-22 17:30:57 -060055 if (readl(&regs->fr) & UART_PL01x_FR_RXFE)
56 return -EAGAIN;
wdenk42dfe7a2004-03-14 22:25:36 +000057
Rabin Vincent72d5e442010-05-05 09:23:07 +053058 data = readl(&regs->dr);
wdenk42dfe7a2004-03-14 22:25:36 +000059
60 /* Check for an error flag */
61 if (data & 0xFFFFFF00) {
62 /* Clear the error */
Rabin Vincent72d5e442010-05-05 09:23:07 +053063 writel(0xFFFFFFFF, &regs->ecr);
wdenk42dfe7a2004-03-14 22:25:36 +000064 return -1;
65 }
66
67 return (int) data;
wdenk3d3befa2004-03-14 15:06:13 +000068}
69
Simon Glassaed2fbe2014-09-22 17:30:57 -060070static int pl01x_tstc(struct pl01x_regs *regs)
wdenk3d3befa2004-03-14 15:06:13 +000071{
Stefan Roese29caf932022-09-02 14:10:46 +020072 schedule();
Rabin Vincent72d5e442010-05-05 09:23:07 +053073 return !(readl(&regs->fr) & UART_PL01x_FR_RXFE);
wdenk3d3befa2004-03-14 15:06:13 +000074}
Marek Vasut39f61472012-09-14 22:38:46 +020075
Simon Glassaed2fbe2014-09-22 17:30:57 -060076static int pl01x_generic_serial_init(struct pl01x_regs *regs,
77 enum pl01x_type type)
78{
Simon Glassaed2fbe2014-09-22 17:30:57 -060079 switch (type) {
80 case TYPE_PL010:
Vikas Manochaf7e517b2014-11-21 10:34:22 -080081 /* disable everything */
82 writel(0, &regs->pl010_cr);
Simon Glassaed2fbe2014-09-22 17:30:57 -060083 break;
Vikas Manochad2ca9fd2014-11-21 10:34:21 -080084 case TYPE_PL011:
Vikas Manochaf7e517b2014-11-21 10:34:22 -080085 /* disable everything */
86 writel(0, &regs->pl011_cr);
Vikas Manochad2ca9fd2014-11-21 10:34:21 -080087 break;
88 default:
89 return -EINVAL;
90 }
91
92 return 0;
93}
94
Linus Walleijd77447f2015-04-21 15:10:06 +020095static int pl011_set_line_control(struct pl01x_regs *regs)
Vikas Manochad2ca9fd2014-11-21 10:34:21 -080096{
97 unsigned int lcr;
98 /*
99 * Internal update of baud rate register require line
100 * control register write
101 */
102 lcr = UART_PL011_LCRH_WLEN_8 | UART_PL011_LCRH_FEN;
Vikas Manochad2ca9fd2014-11-21 10:34:21 -0800103 writel(lcr, &regs->pl011_lcrh);
Simon Glassaed2fbe2014-09-22 17:30:57 -0600104 return 0;
105}
106
107static int pl01x_generic_setbrg(struct pl01x_regs *regs, enum pl01x_type type,
108 int clock, int baudrate)
109{
110 switch (type) {
111 case TYPE_PL010: {
112 unsigned int divisor;
113
Linus Walleijd77447f2015-04-21 15:10:06 +0200114 /* disable everything */
115 writel(0, &regs->pl010_cr);
116
Simon Glassaed2fbe2014-09-22 17:30:57 -0600117 switch (baudrate) {
118 case 9600:
119 divisor = UART_PL010_BAUD_9600;
120 break;
121 case 19200:
Alyssa Rosenzweigb2aa8892017-04-07 09:48:22 -0700122 divisor = UART_PL010_BAUD_19200;
Simon Glassaed2fbe2014-09-22 17:30:57 -0600123 break;
124 case 38400:
125 divisor = UART_PL010_BAUD_38400;
126 break;
127 case 57600:
128 divisor = UART_PL010_BAUD_57600;
129 break;
130 case 115200:
131 divisor = UART_PL010_BAUD_115200;
132 break;
133 default:
134 divisor = UART_PL010_BAUD_38400;
135 }
136
137 writel((divisor & 0xf00) >> 8, &regs->pl010_lcrm);
138 writel(divisor & 0xff, &regs->pl010_lcrl);
139
Linus Walleijd77447f2015-04-21 15:10:06 +0200140 /*
141 * Set line control for the PL010 to be 8 bits, 1 stop bit,
142 * no parity, fifo enabled
143 */
144 writel(UART_PL010_LCRH_WLEN_8 | UART_PL010_LCRH_FEN,
145 &regs->pl010_lcrh);
Simon Glassaed2fbe2014-09-22 17:30:57 -0600146 /* Finally, enable the UART */
147 writel(UART_PL010_CR_UARTEN, &regs->pl010_cr);
148 break;
149 }
150 case TYPE_PL011: {
151 unsigned int temp;
152 unsigned int divider;
153 unsigned int remainder;
154 unsigned int fraction;
155
Andre Przywarae3e2d662020-04-27 19:17:59 +0100156 /* Without a valid clock rate we cannot set up the baudrate. */
157 if (clock) {
158 /*
159 * Set baud rate
160 *
161 * IBRD = UART_CLK / (16 * BAUD_RATE)
162 * FBRD = RND((64 * MOD(UART_CLK,(16 * BAUD_RATE)))
163 * / (16 * BAUD_RATE))
164 */
165 temp = 16 * baudrate;
166 divider = clock / temp;
167 remainder = clock % temp;
168 temp = (8 * remainder) / baudrate;
169 fraction = (temp >> 1) + (temp & 1);
Simon Glassaed2fbe2014-09-22 17:30:57 -0600170
Andre Przywarae3e2d662020-04-27 19:17:59 +0100171 writel(divider, &regs->pl011_ibrd);
172 writel(fraction, &regs->pl011_fbrd);
173 }
Simon Glassaed2fbe2014-09-22 17:30:57 -0600174
Linus Walleijd77447f2015-04-21 15:10:06 +0200175 pl011_set_line_control(regs);
Simon Glassaed2fbe2014-09-22 17:30:57 -0600176 /* Finally, enable the UART */
177 writel(UART_PL011_CR_UARTEN | UART_PL011_CR_TXE |
178 UART_PL011_CR_RXE | UART_PL011_CR_RTS, &regs->pl011_cr);
179 break;
180 }
181 default:
182 return -EINVAL;
183 }
184
185 return 0;
186}
187
Tom Rini0478dac2022-12-04 10:14:13 -0500188#if !CONFIG_IS_ENABLED(DM_SERIAL)
Simon Glassaed2fbe2014-09-22 17:30:57 -0600189static void pl01x_serial_init_baud(int baudrate)
190{
191 int clock = 0;
192
Tom Rinibc08dc52021-05-22 08:47:08 -0400193#if defined(CONFIG_PL011_SERIAL)
Simon Glassaed2fbe2014-09-22 17:30:57 -0600194 pl01x_type = TYPE_PL011;
Tom Rinif410d0a2022-12-04 10:13:30 -0500195 clock = CFG_PL011_CLOCK;
Simon Glassaed2fbe2014-09-22 17:30:57 -0600196#endif
197 base_regs = (struct pl01x_regs *)port[CONFIG_CONS_INDEX];
198
199 pl01x_generic_serial_init(base_regs, pl01x_type);
Vikas Manochaa7deea62014-11-21 10:34:19 -0800200 pl01x_generic_setbrg(base_regs, pl01x_type, clock, baudrate);
Simon Glassaed2fbe2014-09-22 17:30:57 -0600201}
202
203/*
204 * Integrator AP has two UARTs, we use the first one, at 38400-8-N-1
205 * Integrator CP has two UARTs, use the first one, at 38400-8-N-1
206 * Versatile PB has four UARTs.
207 */
208int pl01x_serial_init(void)
209{
210 pl01x_serial_init_baud(CONFIG_BAUDRATE);
211
212 return 0;
213}
214
215static void pl01x_serial_putc(const char c)
216{
217 if (c == '\n')
218 while (pl01x_putc(base_regs, '\r') == -EAGAIN);
219
220 while (pl01x_putc(base_regs, c) == -EAGAIN);
221}
222
223static int pl01x_serial_getc(void)
224{
225 while (1) {
226 int ch = pl01x_getc(base_regs);
227
228 if (ch == -EAGAIN) {
Stefan Roese29caf932022-09-02 14:10:46 +0200229 schedule();
Simon Glassaed2fbe2014-09-22 17:30:57 -0600230 continue;
231 }
232
233 return ch;
234 }
235}
236
237static int pl01x_serial_tstc(void)
238{
239 return pl01x_tstc(base_regs);
240}
241
242static void pl01x_serial_setbrg(void)
243{
244 /*
245 * Flush FIFO and wait for non-busy before changing baudrate to avoid
246 * crap in console
247 */
248 while (!(readl(&base_regs->fr) & UART_PL01x_FR_TXFE))
Stefan Roese29caf932022-09-02 14:10:46 +0200249 schedule();
Simon Glassaed2fbe2014-09-22 17:30:57 -0600250 while (readl(&base_regs->fr) & UART_PL01x_FR_BUSY)
Stefan Roese29caf932022-09-02 14:10:46 +0200251 schedule();
Simon Glassaed2fbe2014-09-22 17:30:57 -0600252 pl01x_serial_init_baud(gd->baudrate);
253}
254
Marek Vasut39f61472012-09-14 22:38:46 +0200255static struct serial_device pl01x_serial_drv = {
256 .name = "pl01x_serial",
257 .start = pl01x_serial_init,
258 .stop = NULL,
259 .setbrg = pl01x_serial_setbrg,
260 .putc = pl01x_serial_putc,
Marek Vasutec3fd682012-10-06 14:07:02 +0000261 .puts = default_serial_puts,
Marek Vasut39f61472012-09-14 22:38:46 +0200262 .getc = pl01x_serial_getc,
263 .tstc = pl01x_serial_tstc,
264};
265
266void pl01x_serial_initialize(void)
267{
268 serial_register(&pl01x_serial_drv);
269}
270
271__weak struct serial_device *default_serial_console(void)
272{
273 return &pl01x_serial_drv;
274}
Tom Rini0478dac2022-12-04 10:14:13 -0500275#else
Alexander Grafc9bf43d2018-03-07 22:08:25 +0100276int pl01x_serial_setbrg(struct udevice *dev, int baudrate)
Simon Glass8a9cd5a2014-09-22 17:30:58 -0600277{
Simon Glass8a8d24b2020-12-03 16:55:23 -0700278 struct pl01x_serial_plat *plat = dev_get_plat(dev);
Simon Glass8a9cd5a2014-09-22 17:30:58 -0600279 struct pl01x_priv *priv = dev_get_priv(dev);
280
Eric Anholtcd0fa5b2016-03-13 18:16:54 -0700281 if (!plat->skip_init) {
282 pl01x_generic_setbrg(priv->regs, priv->type, plat->clock,
283 baudrate);
284 }
Simon Glass8a9cd5a2014-09-22 17:30:58 -0600285
286 return 0;
287}
288
Alexander Graf60019852018-01-25 12:05:55 +0100289int pl01x_serial_probe(struct udevice *dev)
Simon Glass8a9cd5a2014-09-22 17:30:58 -0600290{
Simon Glass8a8d24b2020-12-03 16:55:23 -0700291 struct pl01x_serial_plat *plat = dev_get_plat(dev);
Simon Glass8a9cd5a2014-09-22 17:30:58 -0600292 struct pl01x_priv *priv = dev_get_priv(dev);
293
294 priv->regs = (struct pl01x_regs *)plat->base;
295 priv->type = plat->type;
Eric Anholtcd0fa5b2016-03-13 18:16:54 -0700296 if (!plat->skip_init)
297 return pl01x_generic_serial_init(priv->regs, priv->type);
298 else
299 return 0;
Simon Glass8a9cd5a2014-09-22 17:30:58 -0600300}
301
Alexander Grafc9bf43d2018-03-07 22:08:25 +0100302int pl01x_serial_getc(struct udevice *dev)
Simon Glass8a9cd5a2014-09-22 17:30:58 -0600303{
304 struct pl01x_priv *priv = dev_get_priv(dev);
305
306 return pl01x_getc(priv->regs);
307}
308
Alexander Grafc9bf43d2018-03-07 22:08:25 +0100309int pl01x_serial_putc(struct udevice *dev, const char ch)
Simon Glass8a9cd5a2014-09-22 17:30:58 -0600310{
311 struct pl01x_priv *priv = dev_get_priv(dev);
312
313 return pl01x_putc(priv->regs, ch);
314}
315
Alexander Grafc9bf43d2018-03-07 22:08:25 +0100316int pl01x_serial_pending(struct udevice *dev, bool input)
Simon Glass8a9cd5a2014-09-22 17:30:58 -0600317{
318 struct pl01x_priv *priv = dev_get_priv(dev);
319 unsigned int fr = readl(&priv->regs->fr);
320
321 if (input)
322 return pl01x_tstc(priv->regs);
323 else
324 return fr & UART_PL01x_FR_TXFF ? 0 : 1;
325}
326
Alexander Grafc9bf43d2018-03-07 22:08:25 +0100327static const struct dm_serial_ops pl01x_serial_ops = {
Simon Glass8a9cd5a2014-09-22 17:30:58 -0600328 .putc = pl01x_serial_putc,
329 .pending = pl01x_serial_pending,
330 .getc = pl01x_serial_getc,
331 .setbrg = pl01x_serial_setbrg,
332};
333
Masahiro Yamada0f925822015-08-12 07:31:55 +0900334#if CONFIG_IS_ENABLED(OF_CONTROL)
Vikas Manocha69751722015-05-06 11:46:29 -0700335static const struct udevice_id pl01x_serial_id[] ={
336 {.compatible = "arm,pl011", .data = TYPE_PL011},
337 {.compatible = "arm,pl010", .data = TYPE_PL010},
338 {}
339};
340
Tom Rinif410d0a2022-12-04 10:13:30 -0500341#ifndef CFG_PL011_CLOCK
342#define CFG_PL011_CLOCK 0
Andre Przywarae3e2d662020-04-27 19:17:59 +0100343#endif
344
Simon Glassd1998a92020-12-03 16:55:21 -0700345int pl01x_serial_of_to_plat(struct udevice *dev)
Vikas Manocha69751722015-05-06 11:46:29 -0700346{
Simon Glass8a8d24b2020-12-03 16:55:23 -0700347 struct pl01x_serial_plat *plat = dev_get_plat(dev);
Andre Przywarae3e2d662020-04-27 19:17:59 +0100348 struct clk clk;
Vikas Manocha69751722015-05-06 11:46:29 -0700349 fdt_addr_t addr;
Andre Przywarae3e2d662020-04-27 19:17:59 +0100350 int ret;
Vikas Manocha69751722015-05-06 11:46:29 -0700351
Masahiro Yamada25484932020-07-17 14:36:48 +0900352 addr = dev_read_addr(dev);
Vikas Manocha69751722015-05-06 11:46:29 -0700353 if (addr == FDT_ADDR_T_NONE)
354 return -EINVAL;
355
356 plat->base = addr;
Tom Rinif410d0a2022-12-04 10:13:30 -0500357 plat->clock = dev_read_u32_default(dev, "clock", CFG_PL011_CLOCK);
Andre Przywarae3e2d662020-04-27 19:17:59 +0100358 ret = clk_get_by_index(dev, 0, &clk);
359 if (!ret) {
Michal Simek6c9662d2020-10-13 15:00:24 +0200360 ret = clk_enable(&clk);
361 if (ret && ret != -ENOSYS) {
362 dev_err(dev, "failed to enable clock\n");
363 return ret;
364 }
365
Andre Przywarae3e2d662020-04-27 19:17:59 +0100366 plat->clock = clk_get_rate(&clk);
Michal Simek6c9662d2020-10-13 15:00:24 +0200367 if (IS_ERR_VALUE(plat->clock)) {
368 dev_err(dev, "failed to get rate\n");
369 return plat->clock;
370 }
371 debug("%s: CLK %d\n", __func__, plat->clock);
Andre Przywarae3e2d662020-04-27 19:17:59 +0100372 }
Vikas Manocha69751722015-05-06 11:46:29 -0700373 plat->type = dev_get_driver_data(dev);
Alexander Grafb3111632018-01-25 12:05:49 +0100374 plat->skip_init = dev_read_bool(dev, "skip-init");
375
Vikas Manocha69751722015-05-06 11:46:29 -0700376 return 0;
377}
378#endif
379
Simon Glass8a9cd5a2014-09-22 17:30:58 -0600380U_BOOT_DRIVER(serial_pl01x) = {
381 .name = "serial_pl01x",
382 .id = UCLASS_SERIAL,
Vikas Manocha69751722015-05-06 11:46:29 -0700383 .of_match = of_match_ptr(pl01x_serial_id),
Simon Glassd1998a92020-12-03 16:55:21 -0700384 .of_to_plat = of_match_ptr(pl01x_serial_of_to_plat),
Simon Glass8a8d24b2020-12-03 16:55:23 -0700385 .plat_auto = sizeof(struct pl01x_serial_plat),
Simon Glass8a9cd5a2014-09-22 17:30:58 -0600386 .probe = pl01x_serial_probe,
387 .ops = &pl01x_serial_ops,
388 .flags = DM_FLAG_PRE_RELOC,
Simon Glass41575d82020-12-03 16:55:17 -0700389 .priv_auto = sizeof(struct pl01x_priv),
Simon Glass8a9cd5a2014-09-22 17:30:58 -0600390};
391
392#endif
Sergey Temerkhanovb81406d2015-10-14 09:54:23 -0700393
394#if defined(CONFIG_DEBUG_UART_PL010) || defined(CONFIG_DEBUG_UART_PL011)
395
396#include <debug_uart.h>
397
398static void _debug_uart_init(void)
399{
400#ifndef CONFIG_DEBUG_UART_SKIP_INIT
Pali Rohárb62450c2022-05-27 22:15:24 +0200401 struct pl01x_regs *regs = (struct pl01x_regs *)CONFIG_VAL(DEBUG_UART_BASE);
Chen Baozi91a04382021-07-21 14:11:26 +0800402 enum pl01x_type type;
403
404 if (IS_ENABLED(CONFIG_DEBUG_UART_PL011))
405 type = TYPE_PL011;
406 else
407 type = TYPE_PL010;
Sergey Temerkhanovb81406d2015-10-14 09:54:23 -0700408
409 pl01x_generic_serial_init(regs, type);
410 pl01x_generic_setbrg(regs, type,
411 CONFIG_DEBUG_UART_CLOCK, CONFIG_BAUDRATE);
412#endif
413}
414
415static inline void _debug_uart_putc(int ch)
416{
Pali Rohárb62450c2022-05-27 22:15:24 +0200417 struct pl01x_regs *regs = (struct pl01x_regs *)CONFIG_VAL(DEBUG_UART_BASE);
Sergey Temerkhanovb81406d2015-10-14 09:54:23 -0700418
Chen Baozi19820152021-07-19 15:36:04 +0800419 while (pl01x_putc(regs, ch) == -EAGAIN)
420 ;
Sergey Temerkhanovb81406d2015-10-14 09:54:23 -0700421}
422
423DEBUG_UART_FUNCS
424
425#endif