blob: 5a04f43c9a6865e94f30113872f7a31abc909c4d [file] [log] [blame]
Tom Rini83d290c2018-05-06 17:58:06 -04001/* SPDX-License-Identifier: GPL-2.0+ */
Macpaul Lin72c73dd2011-10-11 22:33:20 +00002/*
3 * Copyright (C) 2011 Andes Technology Corporation
4 * Shawn Lin, Andes Technology Corporation <nobuhiro@andestech.com>
5 * Macpaul Lin, Andes Technology Corporation <macpaul@andestech.com>
Macpaul Lin72c73dd2011-10-11 22:33:20 +00006 */
7
8OUTPUT_FORMAT("elf32-nds32", "elf32-nds32", "elf32-nds32")
9OUTPUT_ARCH(nds32)
10ENTRY(_start)
11SECTIONS
12{
13 . = ALIGN(4);
14 .text :
15 {
16 *(.text)
17 }
18
19 . = ALIGN(4);
20 .data : { *(.data) }
21
22 . = ALIGN(4);
23
24 .got : {
25 __got_start = .;
26 *(.got)
27 __got_end = .;
28 }
29
30 . = ALIGN(4);
31 __bss_start = .;
32 .bss : { *(.bss) }
33 __bss_end = .;
34
35 . = ALIGN(4);
36 .rela.text : { *(.rela.text .rela.text.* .rela.gnu.linkonce.t.*) }
37
38 _end = .;
39}