blob: 5efb349d0762a93903635a272eae2eb33d4e3417 [file] [log] [blame]
Stefan Roese9eefe2a2009-03-19 15:35:05 +01001#
2# (C) Copyright 2006
3# Wolfgang Denk, DENX Software Engineering, wd@denx.de.
4#
5# (C) Copyright 2003
6# Pavel Bartusek, Sysgo Real-Time Solutions AG, pba@sysgo.de
7#
8#
Wolfgang Denk1a459662013-07-08 09:37:19 +02009# SPDX-License-Identifier: GPL-2.0+
Stefan Roese9eefe2a2009-03-19 15:35:05 +010010#
11
Masahiro Yamada4678d742013-11-11 14:36:10 +090012obj-y := ubifs.o io.o super.o sb.o master.o lpt.o
13obj-y += lpt_commit.o scan.o lprops.o
14obj-y += tnc.o tnc_misc.o debug.o crc16.o budget.o
Anton Habegger040cc7b2015-01-22 22:29:11 +010015obj-y += log.o orphan.o recovery.o replay.o gc.o