blob: 20149ba8099fa4f859d1ed3059ee0d1ba3201aeb [file] [log] [blame]
Ilya Yanok4ab779c2012-02-07 23:30:22 +00001#
2# Copyright (C) 2011 Ilya Yanok, Emcraft Systems
3#
4# Based on ti/evm/Makefile
5#
Wolfgang Denk1a459662013-07-08 09:37:19 +02006# SPDX-License-Identifier: GPL-2.0+
Ilya Yanok4ab779c2012-02-07 23:30:22 +00007#
8
Masahiro Yamadaa79854a2013-10-21 11:53:37 +09009obj-y := mcx.o