blob: 127d707e6bf156389c26c77b2885f67972570713 [file] [log] [blame]
wdenk8bde7f72003-06-27 21:31:46 +00001
wdenk7a8e9bed2003-05-31 18:35:21 +00002/*
3 * (C) Copyright 2002
4 * Daniel Engström, Omicron Ceti AB, daniel@omicron.se.
5 *
6 * See file CREDITS for list of people who contributed to this
7 * project.
8 *
9 * This program is free software; you can redistribute it and/or
10 * modify it under the terms of the GNU General Public License as
11 * published by the Free Software Foundation; either version 2 of
12 * the License, or (at your option) any later version.
13 *
14 * This program is distributed in the hope that it will be useful,
15 * but WITHOUT ANY WARRANTY; without even the implied warranty of
16 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
17 * GNU General Public License for more details.
18 *
19 * You should have received a copy of the GNU General Public License
20 * along with this program; if not, write to the Free Software
21 * Foundation, Inc., 59 Temple Place, Suite 330, Boston,
22 * MA 02111-1307 USA
23 */
24
25OUTPUT_FORMAT("elf32-i386", "elf32-i386", "elf32-i386")
26OUTPUT_ARCH(i386)
27ENTRY(_start)
28
29SECTIONS
wdenk8bde7f72003-06-27 21:31:46 +000030{
wdenk7a8e9bed2003-05-31 18:35:21 +000031 . = 0x387c0000; /* Where bootcode in the flash is mapped */
32 .text : { *(.text); }
wdenk7a8e9bed2003-05-31 18:35:21 +000033
wdenk8bde7f72003-06-27 21:31:46 +000034 . = ALIGN(4);
35 .rodata : { *(.rodata) }
36
37 . = 0x400000; /* Ram data segment to use */
wdenk7a8e9bed2003-05-31 18:35:21 +000038 _i386boot_romdata_dest = ABSOLUTE(.);
wdenk8bde7f72003-06-27 21:31:46 +000039 .data : AT ( LOADADDR(.rodata) + SIZEOF(.rodata) ) { *(.data) }
40 _i386boot_romdata_start = LOADADDR(.data);
wdenk7a8e9bed2003-05-31 18:35:21 +000041
wdenk8bde7f72003-06-27 21:31:46 +000042 . = ALIGN(4);
43 .got : AT ( LOADADDR(.data) + SIZEOF(.data) ) { *(.got) }
44 _i386boot_romdata_size = SIZEOF(.data) + SIZEOF(.got);
wdenk7a8e9bed2003-05-31 18:35:21 +000045
46
wdenk8bde7f72003-06-27 21:31:46 +000047 . = ALIGN(4);
wdenk7a8e9bed2003-05-31 18:35:21 +000048 _i386boot_bss_start = ABSOLUTE(.);
wdenk8bde7f72003-06-27 21:31:46 +000049 .bss : { *(.bss) }
wdenk7a8e9bed2003-05-31 18:35:21 +000050 _i386boot_bss_size = SIZEOF(.bss);
wdenk8bde7f72003-06-27 21:31:46 +000051
52
Wolfgang Denk807d5d72005-08-31 12:28:00 +020053 . = .;
wdenk8bde7f72003-06-27 21:31:46 +000054 __u_boot_cmd_start = .;
55 .u_boot_cmd : { *(.u_boot_cmd) }
56 __u_boot_cmd_end = .;
57
58
wdenk7a8e9bed2003-05-31 18:35:21 +000059 /* 16bit realmode trampoline code */
60 .realmode 0x7c0 : AT ( LOADADDR(.got) + SIZEOF(.got) ) { *(.realmode) }
wdenk8bde7f72003-06-27 21:31:46 +000061
wdenk7a8e9bed2003-05-31 18:35:21 +000062 _i386boot_realmode = LOADADDR(.realmode);
63 _i386boot_realmode_size = SIZEOF(.realmode);
wdenk8bde7f72003-06-27 21:31:46 +000064
wdenk7a8e9bed2003-05-31 18:35:21 +000065 /* 16bit BIOS emulation code (just enough to boot Linux) */
66 .bios 0 : AT ( LOADADDR(.realmode) + SIZEOF(.realmode) ) { *(.bios) }
wdenk8bde7f72003-06-27 21:31:46 +000067
wdenk7a8e9bed2003-05-31 18:35:21 +000068 _i386boot_bios = LOADADDR(.bios);
69 _i386boot_bios_size = SIZEOF(.bios);
wdenk8bde7f72003-06-27 21:31:46 +000070
wdenk7a8e9bed2003-05-31 18:35:21 +000071
72 /* The load addresses below assumes that the flash
73 * will be mapped so that 0x387f0000 == 0xffff0000
74 * at reset time
75 *
wdenk8bde7f72003-06-27 21:31:46 +000076 * The fe00 and ff00 offsets of the start32 and start16
wdenk7a8e9bed2003-05-31 18:35:21 +000077 * segments are arbitrary, the just have to be mapped
78 * at reset and the code have to fit.
79 * The fff0 offset of reset is important, however.
80 */
wdenk8bde7f72003-06-27 21:31:46 +000081
82
wdenk7a8e9bed2003-05-31 18:35:21 +000083 . = 0xfffffe00;
wdenk8bde7f72003-06-27 21:31:46 +000084 .start32 : AT (0x387ffe00) { *(.start32); }
85
wdenk7a8e9bed2003-05-31 18:35:21 +000086 . = 0xff00;
wdenk8bde7f72003-06-27 21:31:46 +000087 .start16 : AT (0x387fff00) { *(.start16); }
88
wdenk7a8e9bed2003-05-31 18:35:21 +000089 . = 0xfff0;
wdenk8bde7f72003-06-27 21:31:46 +000090 .reset : AT (0x387ffff0) { *(.reset); }
wdenk7a8e9bed2003-05-31 18:35:21 +000091 _i386boot_end = (LOADADDR(.reset) + SIZEOF(.reset) );
92}