blob: 062c46ba24fa2bcf958359f6c7430f1ed575f144 [file] [log] [blame]
Marek Vasutd21f08b2017-10-09 21:08:10 +02001#
2# board/renesas/eagle/Makefile
3#
4# Copyright (C) 2015 Renesas Electronics Corporation
5#
6# SPDX-License-Identifier: GPL-2.0+
7#
8
Marek Vasut56023302018-10-03 12:44:13 +02009ifdef CONFIG_SPL_BUILD
10obj-y := ../rcar-common/gen3-spl.o
11else
Marek Vasut6ef540d2019-05-19 23:25:16 +020012obj-y := eagle.o ../rcar-common/common.o
Marek Vasut56023302018-10-03 12:44:13 +020013endif