blob: 604522ebb166f75520613aba6493127355b04199 [file] [log] [blame]
Marek Vasut7387d4c2017-10-08 20:41:18 +02001#
2# board/renesas/draak/Makefile
3#
4# Copyright (C) 2015 Renesas Electronics Corporation
5#
6# SPDX-License-Identifier: GPL-2.0+
7#
8
9obj-y := draak.o