blob: 683c3f3bac6600e2cfc27c738ca7867d66d956ce [file] [log] [blame]
Fabio Estevamf5327272015-10-03 14:20:59 -03001/*
2 * (C) Copyright 2015 Freescale Semiconductor, Inc.
3 *
4 * SPDX-License-Identifier: GPL-2.0+
5 */
6
7struct watchdog_regs {
8 u16 wcr; /* Control */
9 u16 wsr; /* Service */
10 u16 wrsr; /* Reset Status */
11};
12
13#define WCR_WDZST 0x01
14#define WCR_WDBG 0x02
15#define WCR_WDE 0x04
16#define WCR_WDT 0x08
17#define WCR_SRS 0x10
Ross Parker9eeab572016-08-02 08:08:07 +000018#define WCR_WDA 0x20
Fabio Estevamf5327272015-10-03 14:20:59 -030019#define SET_WCR_WT(x) (x << 8)
Andrey Skvortsov587c3f82015-12-20 21:09:58 +030020#define WCR_WT_MSK SET_WCR_WT(0xFF)