blob: a9c941b6dbfc0fd1c9a3f0095d768abfb2cc2f51 [file] [log] [blame]
Hai Phamb092f962020-08-11 10:46:34 +07001// SPDX-License-Identifier: GPL-2.0
2/*
3 * r8a779a0 Clock Pulse Generator / Module Standby and Software Reset
4 *
5 * Copyright (C) 2020 Renesas Electronics Corp.
6 *
7 * Based on r8a7795-cpg-mssr.c
8 *
9 * Copyright (C) 2015 Glider bvba
10 * Copyright (C) 2015 Renesas Electronics Corp.
11 */
12
13#include <common.h>
14#include <clk-uclass.h>
15#include <dm.h>
16
17#include <dt-bindings/clock/r8a779a0-cpg-mssr.h>
18
19#include "renesas-cpg-mssr.h"
20#include "rcar-gen3-cpg.h"
21
22enum clk_ids {
23 /* Core Clock Outputs exported to DT */
24 LAST_DT_CORE_CLK = R8A779A0_CLK_OSC,
25
26 /* External Input Clocks */
27 CLK_EXTAL,
28 CLK_EXTALR,
29
30 /* Internal Core Clocks */
31 CLK_MAIN,
32 CLK_PLL1,
33 CLK_PLL20,
34 CLK_PLL21,
35 CLK_PLL30,
36 CLK_PLL31,
37 CLK_PLL5,
38 CLK_PLL1_DIV2,
39 CLK_PLL20_DIV2,
40 CLK_PLL21_DIV2,
41 CLK_PLL30_DIV2,
42 CLK_PLL31_DIV2,
43 CLK_PLL5_DIV2,
44 CLK_PLL5_DIV4,
45 CLK_S1,
46 CLK_S3,
47 CLK_SDSRC,
48 CLK_RPCSRC,
49 CLK_OCO,
50
51 /* Module Clocks */
52 MOD_CLK_BASE
53};
54
55#define DEF_PLL(_name, _id, _offset) \
Marek Vasut733da622023-01-26 21:01:56 +010056 DEF_BASE(_name, _id, CLK_TYPE_GEN4_PLL2X_3X, CLK_MAIN, \
Hai Phamb092f962020-08-11 10:46:34 +070057 .offset = _offset)
58
Hai Phamb092f962020-08-11 10:46:34 +070059static const struct cpg_core_clk r8a779a0_core_clks[] = {
60 /* External Clock Inputs */
61 DEF_INPUT("extal", CLK_EXTAL),
62 DEF_INPUT("extalr", CLK_EXTALR),
63
64 /* Internal Core Clocks */
Marek Vasut733da622023-01-26 21:01:56 +010065 DEF_BASE(".main", CLK_MAIN, CLK_TYPE_GEN4_MAIN, CLK_EXTAL),
66 DEF_BASE(".pll1", CLK_PLL1, CLK_TYPE_GEN4_PLL1, CLK_MAIN),
67 DEF_BASE(".pll5", CLK_PLL5, CLK_TYPE_GEN4_PLL5, CLK_MAIN),
Hai Phamb092f962020-08-11 10:46:34 +070068 DEF_PLL(".pll20", CLK_PLL20, 0x0834),
69 DEF_PLL(".pll21", CLK_PLL21, 0x0838),
70 DEF_PLL(".pll30", CLK_PLL30, 0x083c),
71 DEF_PLL(".pll31", CLK_PLL31, 0x0840),
72
73 DEF_FIXED(".pll1_div2", CLK_PLL1_DIV2, CLK_PLL1, 2, 1),
74 DEF_FIXED(".pll20_div2", CLK_PLL20_DIV2, CLK_PLL20, 2, 1),
75 DEF_FIXED(".pll21_div2", CLK_PLL21_DIV2, CLK_PLL21, 2, 1),
76 DEF_FIXED(".pll30_div2", CLK_PLL30_DIV2, CLK_PLL30, 2, 1),
77 DEF_FIXED(".pll31_div2", CLK_PLL31_DIV2, CLK_PLL31, 2, 1),
78 DEF_FIXED(".pll5_div2", CLK_PLL5_DIV2, CLK_PLL5, 2, 1),
79 DEF_FIXED(".pll5_div4", CLK_PLL5_DIV4, CLK_PLL5_DIV2, 2, 1),
80 DEF_FIXED(".s1", CLK_S1, CLK_PLL1_DIV2, 2, 1),
81 DEF_FIXED(".s3", CLK_S3, CLK_PLL1_DIV2, 4, 1),
82 DEF_FIXED(".sdsrc", CLK_SDSRC, CLK_PLL5_DIV4, 1, 1),
Marek Vasut733da622023-01-26 21:01:56 +010083
Hai Phamb092f962020-08-11 10:46:34 +070084 DEF_RATE(".oco", CLK_OCO, 32768),
85
Marek Vasut733da622023-01-26 21:01:56 +010086 DEF_BASE(".rpcsrc", CLK_RPCSRC, CLK_TYPE_GEN4_RPCSRC, CLK_PLL5),
87
Hai Phamb092f962020-08-11 10:46:34 +070088 /* Core Clock Outputs */
Marek Vasut733da622023-01-26 21:01:56 +010089 DEF_GEN4_Z("z0", R8A779A0_CLK_Z0, CLK_TYPE_GEN4_Z, CLK_PLL20, 2, 0),
90 DEF_GEN4_Z("z1", R8A779A0_CLK_Z1, CLK_TYPE_GEN4_Z, CLK_PLL21, 2, 8),
Hai Phamb092f962020-08-11 10:46:34 +070091 DEF_FIXED("zx", R8A779A0_CLK_ZX, CLK_PLL20_DIV2, 2, 1),
92 DEF_FIXED("s1d1", R8A779A0_CLK_S1D1, CLK_S1, 1, 1),
93 DEF_FIXED("s1d2", R8A779A0_CLK_S1D2, CLK_S1, 2, 1),
94 DEF_FIXED("s1d4", R8A779A0_CLK_S1D4, CLK_S1, 4, 1),
95 DEF_FIXED("s1d8", R8A779A0_CLK_S1D8, CLK_S1, 8, 1),
96 DEF_FIXED("s1d12", R8A779A0_CLK_S1D12, CLK_S1, 12, 1),
97 DEF_FIXED("s3d1", R8A779A0_CLK_S3D1, CLK_S3, 1, 1),
98 DEF_FIXED("s3d2", R8A779A0_CLK_S3D2, CLK_S3, 2, 1),
99 DEF_FIXED("s3d4", R8A779A0_CLK_S3D4, CLK_S3, 4, 1),
100 DEF_FIXED("zs", R8A779A0_CLK_ZS, CLK_PLL1_DIV2, 4, 1),
101 DEF_FIXED("zt", R8A779A0_CLK_ZT, CLK_PLL1_DIV2, 2, 1),
102 DEF_FIXED("ztr", R8A779A0_CLK_ZTR, CLK_PLL1_DIV2, 2, 1),
103 DEF_FIXED("zr", R8A779A0_CLK_ZR, CLK_PLL1_DIV2, 1, 1),
Hai Phamb092f962020-08-11 10:46:34 +0700104 DEF_FIXED("cnndsp", R8A779A0_CLK_CNNDSP, CLK_PLL5_DIV4, 1, 1),
105 DEF_FIXED("vip", R8A779A0_CLK_VIP, CLK_PLL5, 5, 1),
106 DEF_FIXED("adgh", R8A779A0_CLK_ADGH, CLK_PLL5_DIV4, 1, 1),
107 DEF_FIXED("icu", R8A779A0_CLK_ICU, CLK_PLL5_DIV4, 2, 1),
108 DEF_FIXED("icud2", R8A779A0_CLK_ICUD2, CLK_PLL5_DIV4, 4, 1),
109 DEF_FIXED("vcbus", R8A779A0_CLK_VCBUS, CLK_PLL5_DIV4, 1, 1),
110 DEF_FIXED("cbfusa", R8A779A0_CLK_CBFUSA, CLK_EXTAL, 2, 1),
111 DEF_FIXED("cp", R8A779A0_CLK_CP, CLK_EXTAL, 2, 1),
Marek Vasut733da622023-01-26 21:01:56 +0100112 DEF_FIXED("cl16mck", R8A779A0_CLK_CL16MCK, CLK_PLL1_DIV2, 64, 1),
Hai Phamb092f962020-08-11 10:46:34 +0700113
Marek Vasut733da622023-01-26 21:01:56 +0100114 DEF_GEN4_SDH("sd0h", R8A779A0_CLK_SD0H, CLK_SDSRC, 0x870),
115 DEF_GEN4_SD("sd0", R8A779A0_CLK_SD0, R8A779A0_CLK_SD0H, 0x870),
116
117 DEF_BASE("rpc", R8A779A0_CLK_RPC, CLK_TYPE_GEN4_RPC, CLK_RPCSRC),
118 DEF_BASE("rpcd2", R8A779A0_CLK_RPCD2, CLK_TYPE_GEN4_RPCD2,
119 R8A779A0_CLK_RPC),
Hai Phamb092f962020-08-11 10:46:34 +0700120
121 DEF_DIV6P1("mso", R8A779A0_CLK_MSO, CLK_PLL5_DIV4, 0x87c),
122 DEF_DIV6P1("canfd", R8A779A0_CLK_CANFD, CLK_PLL5_DIV4, 0x878),
123 DEF_DIV6P1("csi0", R8A779A0_CLK_CSI0, CLK_PLL5_DIV4, 0x880),
Marek Vasut733da622023-01-26 21:01:56 +0100124 DEF_DIV6P1("dsi", R8A779A0_CLK_DSI, CLK_PLL5_DIV4, 0x884),
Hai Phamb092f962020-08-11 10:46:34 +0700125
Marek Vasut733da622023-01-26 21:01:56 +0100126 DEF_GEN4_OSC("osc", R8A779A0_CLK_OSC, CLK_EXTAL, 8),
127 DEF_GEN4_MDSEL("r", R8A779A0_CLK_R, 29, CLK_EXTALR, 1, CLK_OCO, 1),
Hai Phamb092f962020-08-11 10:46:34 +0700128};
129
130static const struct mssr_mod_clk r8a779a0_mod_clks[] = {
131 DEF_MOD("avb0", 211, R8A779A0_CLK_S3D2),
132 DEF_MOD("avb1", 212, R8A779A0_CLK_S3D2),
133 DEF_MOD("avb2", 213, R8A779A0_CLK_S3D2),
134 DEF_MOD("avb3", 214, R8A779A0_CLK_S3D2),
135 DEF_MOD("avb4", 215, R8A779A0_CLK_S3D2),
136 DEF_MOD("avb5", 216, R8A779A0_CLK_S3D2),
Marek Vasut733da622023-01-26 21:01:56 +0100137 DEF_MOD("canfd0", 328, R8A779A0_CLK_CANFD),
Hai Phamb092f962020-08-11 10:46:34 +0700138 DEF_MOD("csi40", 331, R8A779A0_CLK_CSI0),
139 DEF_MOD("csi41", 400, R8A779A0_CLK_CSI0),
140 DEF_MOD("csi42", 401, R8A779A0_CLK_CSI0),
141 DEF_MOD("csi43", 402, R8A779A0_CLK_CSI0),
Marek Vasut733da622023-01-26 21:01:56 +0100142 DEF_MOD("du", 411, R8A779A0_CLK_S3D1),
143 DEF_MOD("dsi0", 415, R8A779A0_CLK_DSI),
144 DEF_MOD("dsi1", 416, R8A779A0_CLK_DSI),
Hai Phamb092f962020-08-11 10:46:34 +0700145 DEF_MOD("fcpvd0", 508, R8A779A0_CLK_S3D1),
146 DEF_MOD("fcpvd1", 509, R8A779A0_CLK_S3D1),
147 DEF_MOD("hscif0", 514, R8A779A0_CLK_S1D2),
148 DEF_MOD("hscif1", 515, R8A779A0_CLK_S1D2),
149 DEF_MOD("hscif2", 516, R8A779A0_CLK_S1D2),
150 DEF_MOD("hscif3", 517, R8A779A0_CLK_S1D2),
151 DEF_MOD("i2c0", 518, R8A779A0_CLK_S1D4),
152 DEF_MOD("i2c1", 519, R8A779A0_CLK_S1D4),
153 DEF_MOD("i2c2", 520, R8A779A0_CLK_S1D4),
154 DEF_MOD("i2c3", 521, R8A779A0_CLK_S1D4),
155 DEF_MOD("i2c4", 522, R8A779A0_CLK_S1D4),
156 DEF_MOD("i2c5", 523, R8A779A0_CLK_S1D4),
157 DEF_MOD("i2c6", 524, R8A779A0_CLK_S1D4),
Marek Vasut733da622023-01-26 21:01:56 +0100158 DEF_MOD("ispcs0", 612, R8A779A0_CLK_S1D1),
159 DEF_MOD("ispcs1", 613, R8A779A0_CLK_S1D1),
160 DEF_MOD("ispcs2", 614, R8A779A0_CLK_S1D1),
161 DEF_MOD("ispcs3", 615, R8A779A0_CLK_S1D1),
Hai Phamb092f962020-08-11 10:46:34 +0700162 DEF_MOD("msi0", 618, R8A779A0_CLK_MSO),
163 DEF_MOD("msi1", 619, R8A779A0_CLK_MSO),
164 DEF_MOD("msi2", 620, R8A779A0_CLK_MSO),
165 DEF_MOD("msi3", 621, R8A779A0_CLK_MSO),
166 DEF_MOD("msi4", 622, R8A779A0_CLK_MSO),
167 DEF_MOD("msi5", 623, R8A779A0_CLK_MSO),
Marek Vasut733da622023-01-26 21:01:56 +0100168 DEF_MOD("rpc-if", 629, R8A779A0_CLK_RPCD2),
Hai Phamb092f962020-08-11 10:46:34 +0700169 DEF_MOD("scif0", 702, R8A779A0_CLK_S1D8),
170 DEF_MOD("scif1", 703, R8A779A0_CLK_S1D8),
171 DEF_MOD("scif3", 704, R8A779A0_CLK_S1D8),
172 DEF_MOD("scif4", 705, R8A779A0_CLK_S1D8),
173 DEF_MOD("sdhi0", 706, R8A779A0_CLK_SD0),
174 DEF_MOD("sydm1", 709, R8A779A0_CLK_S1D2),
175 DEF_MOD("sydm2", 710, R8A779A0_CLK_S1D2),
Marek Vasut733da622023-01-26 21:01:56 +0100176 DEF_MOD("tmu0", 713, R8A779A0_CLK_CL16MCK),
177 DEF_MOD("tmu1", 714, R8A779A0_CLK_S1D4),
178 DEF_MOD("tmu2", 715, R8A779A0_CLK_S1D4),
179 DEF_MOD("tmu3", 716, R8A779A0_CLK_S1D4),
180 DEF_MOD("tmu4", 717, R8A779A0_CLK_S1D4),
181 DEF_MOD("tpu0", 718, R8A779A0_CLK_S1D8),
Hai Phamb092f962020-08-11 10:46:34 +0700182 DEF_MOD("vin00", 730, R8A779A0_CLK_S1D1),
183 DEF_MOD("vin01", 731, R8A779A0_CLK_S1D1),
184 DEF_MOD("vin02", 800, R8A779A0_CLK_S1D1),
185 DEF_MOD("vin03", 801, R8A779A0_CLK_S1D1),
186 DEF_MOD("vin04", 802, R8A779A0_CLK_S1D1),
187 DEF_MOD("vin05", 803, R8A779A0_CLK_S1D1),
188 DEF_MOD("vin06", 804, R8A779A0_CLK_S1D1),
189 DEF_MOD("vin07", 805, R8A779A0_CLK_S1D1),
190 DEF_MOD("vin10", 806, R8A779A0_CLK_S1D1),
191 DEF_MOD("vin11", 807, R8A779A0_CLK_S1D1),
192 DEF_MOD("vin12", 808, R8A779A0_CLK_S1D1),
193 DEF_MOD("vin13", 809, R8A779A0_CLK_S1D1),
194 DEF_MOD("vin14", 810, R8A779A0_CLK_S1D1),
195 DEF_MOD("vin15", 811, R8A779A0_CLK_S1D1),
196 DEF_MOD("vin16", 812, R8A779A0_CLK_S1D1),
197 DEF_MOD("vin17", 813, R8A779A0_CLK_S1D1),
198 DEF_MOD("vin20", 814, R8A779A0_CLK_S1D1),
199 DEF_MOD("vin21", 815, R8A779A0_CLK_S1D1),
200 DEF_MOD("vin22", 816, R8A779A0_CLK_S1D1),
201 DEF_MOD("vin23", 817, R8A779A0_CLK_S1D1),
202 DEF_MOD("vin24", 818, R8A779A0_CLK_S1D1),
203 DEF_MOD("vin25", 819, R8A779A0_CLK_S1D1),
204 DEF_MOD("vin26", 820, R8A779A0_CLK_S1D1),
205 DEF_MOD("vin27", 821, R8A779A0_CLK_S1D1),
206 DEF_MOD("vin30", 822, R8A779A0_CLK_S1D1),
207 DEF_MOD("vin31", 823, R8A779A0_CLK_S1D1),
208 DEF_MOD("vin32", 824, R8A779A0_CLK_S1D1),
209 DEF_MOD("vin33", 825, R8A779A0_CLK_S1D1),
210 DEF_MOD("vin34", 826, R8A779A0_CLK_S1D1),
211 DEF_MOD("vin35", 827, R8A779A0_CLK_S1D1),
212 DEF_MOD("vin36", 828, R8A779A0_CLK_S1D1),
213 DEF_MOD("vin37", 829, R8A779A0_CLK_S1D1),
214 DEF_MOD("vspd0", 830, R8A779A0_CLK_S3D1),
215 DEF_MOD("vspd1", 831, R8A779A0_CLK_S3D1),
216 DEF_MOD("rwdt", 907, R8A779A0_CLK_R),
Marek Vasut733da622023-01-26 21:01:56 +0100217 DEF_MOD("cmt0", 910, R8A779A0_CLK_R),
218 DEF_MOD("cmt1", 911, R8A779A0_CLK_R),
219 DEF_MOD("cmt2", 912, R8A779A0_CLK_R),
220 DEF_MOD("cmt3", 913, R8A779A0_CLK_R),
Hai Phamb092f962020-08-11 10:46:34 +0700221 DEF_MOD("pfc0", 915, R8A779A0_CLK_CP),
222 DEF_MOD("pfc1", 916, R8A779A0_CLK_CP),
223 DEF_MOD("pfc2", 917, R8A779A0_CLK_CP),
224 DEF_MOD("pfc3", 918, R8A779A0_CLK_CP),
Marek Vasut733da622023-01-26 21:01:56 +0100225 DEF_MOD("tsc", 919, R8A779A0_CLK_CL16MCK),
Hai Phamb092f962020-08-11 10:46:34 +0700226 DEF_MOD("vspx0", 1028, R8A779A0_CLK_S1D1),
227 DEF_MOD("vspx1", 1029, R8A779A0_CLK_S1D1),
228 DEF_MOD("vspx2", 1030, R8A779A0_CLK_S1D1),
229 DEF_MOD("vspx3", 1031, R8A779A0_CLK_S1D1),
230};
231
232/*
233 * CPG Clock Data
234 */
235
236/*
237 * MD EXTAL PLL1 PLL20 PLL30 PLL4 PLL5 OSC
238 * 14 13 (MHz) 21 31
239 * --------------------------------------------------------
240 * 0 0 16.66 x 1 x128 x216 x128 x144 x192 /16
241 * 0 1 20 x 1 x106 x180 x106 x120 x160 /19
242 * 1 0 Prohibited setting
243 * 1 1 33.33 / 2 x128 x216 x128 x144 x192 /32
244 */
245#define CPG_PLL_CONFIG_INDEX(md) ((((md) & BIT(14)) >> 13) | \
246 (((md) & BIT(13)) >> 13))
247
248static const struct rcar_gen3_cpg_pll_config cpg_pll_configs[4] = {
249 /* EXTAL div PLL1 mult/div Not used OSC prediv PLL5 mult/div */
250 { 1, 128, 1, 128, 1, 16, 192, 1, },
251 { 1, 106, 1, 106, 1, 19, 160, 1, },
252 { 0, 0, 0, 0, 0, 0, 0, 0, },
253 { 2, 128, 1, 128, 1, 32, 192, 1, },
254};
255
256/*
257 * Note that the only clock left running before booting Linux are now
258 * MFIS, INTC-AP, INTC-EX and SCIF0 on V3U
259 */
260#define MSTPCR7_SCIF0 BIT(2)
261#define MSTPCR6_MFIS BIT(17)
262#define MSTPCR6_INTC BIT(11) /* No information: INTC-AP, INTC-EX */
263
264static const struct mstp_stop_table r8a779a0_mstp_table[] = {
265 { 0x003f7ffe, 0x0, 0x0, 0x0 },
266 { 0x00cb0000, 0x0, 0x0, 0x0 },
267 { 0x0001f800, 0x0, 0x0, 0x0 },
268 { 0x90000000, 0x0, 0x0, 0x0 },
269 { 0x0001c807, 0x0, 0x0, 0x0 },
270 { 0x7e03c380, 0x0, 0x0, 0x0 },
271 { 0x1f01f001, MSTPCR6_MFIS, 0x0, 0x0 },
272 { 0xffffe040, MSTPCR7_SCIF0, 0x0, 0x0 },
273 { 0xffffffff, 0x0, 0x0, 0x0 },
274 { 0x00003c78, 0x0, 0x0, 0x0 },
275 { 0xf0000000, 0x0, 0x0, 0x0 },
276 { 0x0000000f, 0x0, 0x0, 0x0 },
277 { 0xbe800000, 0x0, 0x0, 0x0 },
278 { 0x00000037, 0x0, 0x0, 0x0 },
279 { 0x00000000, 0x0, 0x0, 0x0 },
280};
281
282static const void *r8a779a0_get_pll_config(const u32 cpg_mode)
283{
284 return &cpg_pll_configs[CPG_PLL_CONFIG_INDEX(cpg_mode)];
285}
286
287static const struct cpg_mssr_info r8a779a0_cpg_mssr_info = {
288 .core_clk = r8a779a0_core_clks,
289 .core_clk_size = ARRAY_SIZE(r8a779a0_core_clks),
290 .mod_clk = r8a779a0_mod_clks,
291 .mod_clk_size = ARRAY_SIZE(r8a779a0_mod_clks),
292 .mstp_table = r8a779a0_mstp_table,
293 .mstp_table_size = ARRAY_SIZE(r8a779a0_mstp_table),
294 .reset_node = "renesas,r8a779a0-rst",
295 .reset_modemr_offset = 0x00,
296 .extalr_node = "extalr",
297 .mod_clk_base = MOD_CLK_BASE,
298 .clk_extal_id = CLK_EXTAL,
299 .clk_extalr_id = CLK_EXTALR,
300 .get_pll_config = r8a779a0_get_pll_config,
301 .reg_layout = CLK_REG_LAYOUT_RCAR_V3U,
302};
303
Marek Vasut326e05c2023-01-26 21:02:03 +0100304static const struct udevice_id r8a779a0_cpg_ids[] = {
Hai Phamb092f962020-08-11 10:46:34 +0700305 {
306 .compatible = "renesas,r8a779a0-cpg-mssr",
307 .data = (ulong)&r8a779a0_cpg_mssr_info
308 },
309 { }
310};
311
Marek Vasut326e05c2023-01-26 21:02:03 +0100312U_BOOT_DRIVER(cpg_r8a779a0) = {
313 .name = "cpg_r8a779a0",
314 .id = UCLASS_NOP,
315 .of_match = r8a779a0_cpg_ids,
316 .bind = gen3_cpg_bind,
Hai Phamb092f962020-08-11 10:46:34 +0700317};