blob: 655f22ed9ac6771af3e93e76bfa41822e0c4f916 [file] [log] [blame]
Tom Rini83d290c2018-05-06 17:58:06 -04001/* SPDX-License-Identifier: GPL-2.0+ */
Fabio Estevamf5327272015-10-03 14:20:59 -03002/*
3 * (C) Copyright 2015 Freescale Semiconductor, Inc.
Fabio Estevamf5327272015-10-03 14:20:59 -03004 */
5
6struct watchdog_regs {
7 u16 wcr; /* Control */
8 u16 wsr; /* Service */
9 u16 wrsr; /* Reset Status */
10};
11
12#define WCR_WDZST 0x01
13#define WCR_WDBG 0x02
14#define WCR_WDE 0x04
15#define WCR_WDT 0x08
16#define WCR_SRS 0x10
Ross Parker9eeab572016-08-02 08:08:07 +000017#define WCR_WDA 0x20
Fabio Estevamf5327272015-10-03 14:20:59 -030018#define SET_WCR_WT(x) (x << 8)
Andrey Skvortsov587c3f82015-12-20 21:09:58 +030019#define WCR_WT_MSK SET_WCR_WT(0xFF)