blob: 6b97e145e99f2a9696c959561defc39b1576fa86 [file] [log] [blame]
Tom Rini83d290c2018-05-06 17:58:06 -04001// SPDX-License-Identifier: GPL-2.0+
wdenk5653fc32004-02-08 22:55:38 +00002/*
wdenkbf9e3b32004-02-12 00:47:09 +00003 * (C) Copyright 2002-2004
wdenk5653fc32004-02-08 22:55:38 +00004 * Brad Kemp, Seranoa Networks, Brad.Kemp@seranoa.com
5 *
6 * Copyright (C) 2003 Arabella Software Ltd.
7 * Yuli Barcohen <yuli@arabellasw.com>
wdenk5653fc32004-02-08 22:55:38 +00008 *
wdenkbf9e3b32004-02-12 00:47:09 +00009 * Copyright (C) 2004
10 * Ed Okerson
Stefan Roese260421a2006-11-13 13:55:24 +010011 *
12 * Copyright (C) 2006
13 * Tolunay Orkun <listmember@orkun.us>
wdenk5653fc32004-02-08 22:55:38 +000014 */
15
16/* The DEBUG define must be before common to enable debugging */
wdenk2d1a5372004-02-23 19:30:57 +000017/* #define DEBUG */
18
wdenk5653fc32004-02-08 22:55:38 +000019#include <common.h>
Simon Glass24b852a2015-11-08 23:47:45 -070020#include <console.h>
Thomas Chouf1056912015-11-07 14:31:08 +080021#include <dm.h>
22#include <errno.h>
23#include <fdt_support.h>
wdenk5653fc32004-02-08 22:55:38 +000024#include <asm/processor.h>
Haiying Wang3a197b22007-02-21 16:52:31 +010025#include <asm/io.h>
wdenk4c0d4c32004-06-09 17:34:58 +000026#include <asm/byteorder.h>
Andrew Gabbasovaedadf12013-05-14 12:27:52 -050027#include <asm/unaligned.h>
wdenk2a8af182005-04-13 10:02:42 +000028#include <environment.h>
Stefan Roesefa36ae72009-10-27 15:15:55 +010029#include <mtd/cfi_flash.h>
Jens Scharsig (BuS Elektronik)a9f5fab2012-01-27 09:29:53 +010030#include <watchdog.h>
wdenk028ab6b2004-02-23 23:54:43 +000031
wdenk5653fc32004-02-08 22:55:38 +000032/*
Haavard Skinnemoen7e5b9b42007-12-13 12:56:28 +010033 * This file implements a Common Flash Interface (CFI) driver for
34 * U-Boot.
35 *
36 * The width of the port and the width of the chips are determined at
37 * initialization. These widths are used to calculate the address for
38 * access CFI data structures.
wdenk5653fc32004-02-08 22:55:38 +000039 *
40 * References
41 * JEDEC Standard JESD68 - Common Flash Interface (CFI)
42 * JEDEC Standard JEP137-A Common Flash Interface (CFI) ID Codes
43 * Intel Application Note 646 Common Flash Interface (CFI) and Command Sets
44 * Intel 290667-008 3 Volt Intel StrataFlash Memory datasheet
Stefan Roese260421a2006-11-13 13:55:24 +010045 * AMD CFI Specification, Release 2.0 December 1, 2001
46 * AMD/Spansion Application Note: Migration from Single-byte to Three-byte
47 * Device IDs, Publication Number 25538 Revision A, November 8, 2001
wdenk5653fc32004-02-08 22:55:38 +000048 *
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +020049 * Define CONFIG_SYS_WRITE_SWAPPED_DATA, if you have to swap the Bytes between
Heiko Schocherd0b6e142007-01-19 18:05:26 +010050 * reading and writing ... (yes there is such a Hardware).
wdenk5653fc32004-02-08 22:55:38 +000051 */
52
Thomas Chouf1056912015-11-07 14:31:08 +080053DECLARE_GLOBAL_DATA_PTR;
54
Haavard Skinnemoen7e5b9b42007-12-13 12:56:28 +010055static uint flash_offset_cfi[2] = { FLASH_OFFSET_CFI, FLASH_OFFSET_CFI_ALT };
Mike Frysinger4ffeab22010-12-22 09:41:13 -050056#ifdef CONFIG_FLASH_CFI_MTD
Piotr Ziecik6ea808e2008-11-17 15:49:32 +010057static uint flash_verbose = 1;
Mike Frysinger4ffeab22010-12-22 09:41:13 -050058#else
59#define flash_verbose 1
60#endif
Wolfgang Denk92eb7292006-12-27 01:26:13 +010061
Wolfgang Denk2a112b22008-08-08 16:39:54 +020062flash_info_t flash_info[CFI_MAX_FLASH_BANKS]; /* FLASH chips info */
63
Stefan Roese79b4cda2006-02-28 15:29:58 +010064/*
65 * Check if chip width is defined. If not, start detecting with 8bit.
66 */
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +020067#ifndef CONFIG_SYS_FLASH_CFI_WIDTH
68#define CONFIG_SYS_FLASH_CFI_WIDTH FLASH_CFI_8BIT
Stefan Roese79b4cda2006-02-28 15:29:58 +010069#endif
70
Jeroen Hofstee00dcb072014-10-08 22:57:23 +020071#ifdef CONFIG_CFI_FLASH_USE_WEAK_ACCESSORS
72#define __maybe_weak __weak
73#else
74#define __maybe_weak static
75#endif
76
Stefan Roese6f726f92010-10-25 18:31:48 +020077/*
78 * 0xffff is an undefined value for the configuration register. When
79 * this value is returned, the configuration register shall not be
80 * written at all (default mode).
81 */
82static u16 cfi_flash_config_reg(int i)
83{
84#ifdef CONFIG_SYS_CFI_FLASH_CONFIG_REGS
85 return ((u16 [])CONFIG_SYS_CFI_FLASH_CONFIG_REGS)[i];
86#else
87 return 0xffff;
88#endif
89}
90
Stefan Roeseca5def32010-08-31 10:00:10 +020091#if defined(CONFIG_SYS_MAX_FLASH_BANKS_DETECT)
92int cfi_flash_num_flash_banks = CONFIG_SYS_MAX_FLASH_BANKS_DETECT;
Mario Sixd9a35692018-01-26 14:43:56 +010093#else
94int cfi_flash_num_flash_banks;
Stefan Roeseca5def32010-08-31 10:00:10 +020095#endif
96
Thomas Chouf1056912015-11-07 14:31:08 +080097#ifdef CONFIG_CFI_FLASH /* for driver model */
98static void cfi_flash_init_dm(void)
99{
100 struct udevice *dev;
101
102 cfi_flash_num_flash_banks = 0;
103 /*
104 * The uclass_first_device() will probe the first device and
105 * uclass_next_device() will probe the rest if they exist. So
106 * that cfi_flash_probe() will get called assigning the base
107 * addresses that are available.
108 */
109 for (uclass_first_device(UCLASS_MTD, &dev);
110 dev;
111 uclass_next_device(&dev)) {
112 }
113}
114
Thomas Chouf1056912015-11-07 14:31:08 +0800115phys_addr_t cfi_flash_bank_addr(int i)
116{
Marek Vasut1ec0a372017-09-12 19:09:08 +0200117 return flash_info[i].base;
Thomas Chouf1056912015-11-07 14:31:08 +0800118}
119#else
Jeroen Hofstee00dcb072014-10-08 22:57:23 +0200120__weak phys_addr_t cfi_flash_bank_addr(int i)
Stefan Roeseb00e19c2010-08-30 10:11:51 +0200121{
122 return ((phys_addr_t [])CONFIG_SYS_FLASH_BANKS_LIST)[i];
123}
Thomas Chouf1056912015-11-07 14:31:08 +0800124#endif
Stefan Roeseb00e19c2010-08-30 10:11:51 +0200125
Jeroen Hofstee00dcb072014-10-08 22:57:23 +0200126__weak unsigned long cfi_flash_bank_size(int i)
Ilya Yanokec50a8e2010-10-21 17:20:12 +0200127{
128#ifdef CONFIG_SYS_FLASH_BANKS_SIZES
129 return ((unsigned long [])CONFIG_SYS_FLASH_BANKS_SIZES)[i];
130#else
131 return 0;
132#endif
133}
Ilya Yanokec50a8e2010-10-21 17:20:12 +0200134
Jeroen Hofstee00dcb072014-10-08 22:57:23 +0200135__maybe_weak void flash_write8(u8 value, void *addr)
Haavard Skinnemoencdbaefb2007-12-13 12:56:32 +0100136{
137 __raw_writeb(value, addr);
138}
139
Jeroen Hofstee00dcb072014-10-08 22:57:23 +0200140__maybe_weak void flash_write16(u16 value, void *addr)
Haavard Skinnemoencdbaefb2007-12-13 12:56:32 +0100141{
142 __raw_writew(value, addr);
143}
144
Jeroen Hofstee00dcb072014-10-08 22:57:23 +0200145__maybe_weak void flash_write32(u32 value, void *addr)
Haavard Skinnemoencdbaefb2007-12-13 12:56:32 +0100146{
147 __raw_writel(value, addr);
148}
149
Jeroen Hofstee00dcb072014-10-08 22:57:23 +0200150__maybe_weak void flash_write64(u64 value, void *addr)
Haavard Skinnemoencdbaefb2007-12-13 12:56:32 +0100151{
152 /* No architectures currently implement __raw_writeq() */
153 *(volatile u64 *)addr = value;
154}
155
Jeroen Hofstee00dcb072014-10-08 22:57:23 +0200156__maybe_weak u8 flash_read8(void *addr)
Haavard Skinnemoencdbaefb2007-12-13 12:56:32 +0100157{
158 return __raw_readb(addr);
159}
160
Jeroen Hofstee00dcb072014-10-08 22:57:23 +0200161__maybe_weak u16 flash_read16(void *addr)
Haavard Skinnemoencdbaefb2007-12-13 12:56:32 +0100162{
163 return __raw_readw(addr);
164}
165
Jeroen Hofstee00dcb072014-10-08 22:57:23 +0200166__maybe_weak u32 flash_read32(void *addr)
Haavard Skinnemoencdbaefb2007-12-13 12:56:32 +0100167{
168 return __raw_readl(addr);
169}
170
Jeroen Hofstee00dcb072014-10-08 22:57:23 +0200171__maybe_weak u64 flash_read64(void *addr)
Haavard Skinnemoencdbaefb2007-12-13 12:56:32 +0100172{
173 /* No architectures currently implement __raw_readq() */
174 return *(volatile u64 *)addr;
175}
176
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200177/*-----------------------------------------------------------------------
178 */
Mario Sixddcf0542018-01-26 14:43:54 +0100179#if defined(CONFIG_ENV_IS_IN_FLASH) || defined(CONFIG_ENV_ADDR_REDUND) || \
180 (CONFIG_SYS_MONITOR_BASE >= CONFIG_SYS_FLASH_BASE)
Marek Vasut236c49a2017-08-20 17:20:00 +0200181static flash_info_t *flash_get_info(ulong base)
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200182{
183 int i;
Masahiro Yamada24c185c2013-05-17 14:50:37 +0900184 flash_info_t *info;
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200185
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +0200186 for (i = 0; i < CONFIG_SYS_MAX_FLASH_BANKS; i++) {
Masahiro Yamadae2e273a2013-05-17 14:50:36 +0900187 info = &flash_info[i];
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200188 if (info->size && info->start[0] <= base &&
189 base <= info->start[0] + info->size - 1)
Masahiro Yamada24c185c2013-05-17 14:50:37 +0900190 return info;
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200191 }
192
Masahiro Yamada24c185c2013-05-17 14:50:37 +0900193 return NULL;
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200194}
wdenk5653fc32004-02-08 22:55:38 +0000195#endif
196
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +0100197unsigned long flash_sector_size(flash_info_t *info, flash_sect_t sect)
198{
199 if (sect != (info->sector_count - 1))
200 return info->start[sect + 1] - info->start[sect];
201 else
202 return info->start[0] + info->size - info->start[sect];
203}
204
wdenk5653fc32004-02-08 22:55:38 +0000205/*-----------------------------------------------------------------------
206 * create an address based on the offset and the port width
207 */
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +0100208static inline void *
Mario Sixca2b07a2018-01-26 14:43:32 +0100209flash_map(flash_info_t *info, flash_sect_t sect, uint offset)
wdenk5653fc32004-02-08 22:55:38 +0000210{
Stefan Roesee303be22013-04-12 19:04:54 +0200211 unsigned int byte_offset = offset * info->portwidth;
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +0100212
Stefan Roesee303be22013-04-12 19:04:54 +0200213 return (void *)(info->start[sect] + byte_offset);
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +0100214}
215
216static inline void flash_unmap(flash_info_t *info, flash_sect_t sect,
Mario Sixc0350fb2018-01-26 14:43:55 +0100217 unsigned int offset, void *addr)
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +0100218{
wdenk5653fc32004-02-08 22:55:38 +0000219}
wdenkbf9e3b32004-02-12 00:47:09 +0000220
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200221/*-----------------------------------------------------------------------
222 * make a proper sized command based on the port and chip widths
223 */
Sebastian Siewior7288f972008-07-15 13:35:23 +0200224static void flash_make_cmd(flash_info_t *info, u32 cmd, void *cmdbuf)
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200225{
226 int i;
Vasiliy Leoenenko93c56f22008-05-07 21:24:44 +0400227 int cword_offset;
228 int cp_offset;
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +0200229#if defined(__LITTLE_ENDIAN) || defined(CONFIG_SYS_WRITE_SWAPPED_DATA)
Sebastian Siewior340ccb22008-07-16 20:04:49 +0200230 u32 cmd_le = cpu_to_le32(cmd);
231#endif
Vasiliy Leoenenko93c56f22008-05-07 21:24:44 +0400232 uchar val;
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200233 uchar *cp = (uchar *) cmdbuf;
234
Mario Sixb1683862018-01-26 14:43:33 +0100235 for (i = info->portwidth; i > 0; i--) {
Mario Six640f4e32018-01-26 14:43:36 +0100236 cword_offset = (info->portwidth - i) % info->chipwidth;
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +0200237#if defined(__LITTLE_ENDIAN) || defined(CONFIG_SYS_WRITE_SWAPPED_DATA)
Vasiliy Leoenenko93c56f22008-05-07 21:24:44 +0400238 cp_offset = info->portwidth - i;
Mario Sixdb91bb22018-01-26 14:43:34 +0100239 val = *((uchar *)&cmd_le + cword_offset);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200240#else
Vasiliy Leoenenko93c56f22008-05-07 21:24:44 +0400241 cp_offset = i - 1;
Mario Sixdb91bb22018-01-26 14:43:34 +0100242 val = *((uchar *)&cmd + sizeof(u32) - cword_offset - 1);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200243#endif
Sebastian Siewior7288f972008-07-15 13:35:23 +0200244 cp[cp_offset] = (cword_offset >= sizeof(u32)) ? 0x00 : val;
Vasiliy Leoenenko93c56f22008-05-07 21:24:44 +0400245 }
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200246}
247
wdenkbf9e3b32004-02-12 00:47:09 +0000248#ifdef DEBUG
249/*-----------------------------------------------------------------------
250 * Debug support
251 */
Mario Six188a5562018-01-26 14:43:31 +0100252static void print_longlong(char *str, unsigned long long data)
wdenkbf9e3b32004-02-12 00:47:09 +0000253{
254 int i;
255 char *cp;
256
Mario Six640f4e32018-01-26 14:43:36 +0100257 cp = (char *)&data;
wdenkbf9e3b32004-02-12 00:47:09 +0000258 for (i = 0; i < 8; i++)
Mario Six188a5562018-01-26 14:43:31 +0100259 sprintf(&str[i * 2], "%2.2x", *cp++);
wdenkbf9e3b32004-02-12 00:47:09 +0000260}
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200261
Mario Six188a5562018-01-26 14:43:31 +0100262static void flash_printqry(struct cfi_qry *qry)
wdenkbf9e3b32004-02-12 00:47:09 +0000263{
Haavard Skinnemoene23741f2007-12-14 15:36:16 +0100264 u8 *p = (u8 *)qry;
wdenkbf9e3b32004-02-12 00:47:09 +0000265 int x, y;
266
Haavard Skinnemoene23741f2007-12-14 15:36:16 +0100267 for (x = 0; x < sizeof(struct cfi_qry); x += 16) {
268 debug("%02x : ", x);
269 for (y = 0; y < 16; y++)
270 debug("%2.2x ", p[x + y]);
271 debug(" ");
wdenkbf9e3b32004-02-12 00:47:09 +0000272 for (y = 0; y < 16; y++) {
Haavard Skinnemoene23741f2007-12-14 15:36:16 +0100273 unsigned char c = p[x + y];
Mario Six7223a8c2018-01-26 14:43:37 +0100274
Haavard Skinnemoene23741f2007-12-14 15:36:16 +0100275 if (c >= 0x20 && c <= 0x7e)
276 debug("%c", c);
277 else
278 debug(".");
wdenkbf9e3b32004-02-12 00:47:09 +0000279 }
Haavard Skinnemoene23741f2007-12-14 15:36:16 +0100280 debug("\n");
wdenkbf9e3b32004-02-12 00:47:09 +0000281 }
282}
wdenkbf9e3b32004-02-12 00:47:09 +0000283#endif
284
wdenk5653fc32004-02-08 22:55:38 +0000285/*-----------------------------------------------------------------------
286 * read a character at a port width address
287 */
Mario Sixca2b07a2018-01-26 14:43:32 +0100288static inline uchar flash_read_uchar(flash_info_t *info, uint offset)
wdenk5653fc32004-02-08 22:55:38 +0000289{
290 uchar *cp;
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +0100291 uchar retval;
wdenkbf9e3b32004-02-12 00:47:09 +0000292
Mario Six188a5562018-01-26 14:43:31 +0100293 cp = flash_map(info, 0, offset);
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +0200294#if defined(__LITTLE_ENDIAN) || defined(CONFIG_SYS_WRITE_SWAPPED_DATA)
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +0100295 retval = flash_read8(cp);
wdenkbf9e3b32004-02-12 00:47:09 +0000296#else
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +0100297 retval = flash_read8(cp + info->portwidth - 1);
wdenkbf9e3b32004-02-12 00:47:09 +0000298#endif
Mario Six188a5562018-01-26 14:43:31 +0100299 flash_unmap(info, 0, offset, cp);
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +0100300 return retval;
wdenk5653fc32004-02-08 22:55:38 +0000301}
302
303/*-----------------------------------------------------------------------
Tor Krill90447ec2008-03-28 11:29:10 +0100304 * read a word at a port width address, assume 16bit bus
305 */
Mario Sixca2b07a2018-01-26 14:43:32 +0100306static inline ushort flash_read_word(flash_info_t *info, uint offset)
Tor Krill90447ec2008-03-28 11:29:10 +0100307{
308 ushort *addr, retval;
309
Mario Six188a5562018-01-26 14:43:31 +0100310 addr = flash_map(info, 0, offset);
311 retval = flash_read16(addr);
312 flash_unmap(info, 0, offset, addr);
Tor Krill90447ec2008-03-28 11:29:10 +0100313 return retval;
314}
315
Tor Krill90447ec2008-03-28 11:29:10 +0100316/*-----------------------------------------------------------------------
Stefan Roese260421a2006-11-13 13:55:24 +0100317 * read a long word by picking the least significant byte of each maximum
wdenk5653fc32004-02-08 22:55:38 +0000318 * port size word. Swap for ppc format.
319 */
Mario Sixca2b07a2018-01-26 14:43:32 +0100320static ulong flash_read_long (flash_info_t *info, flash_sect_t sect,
Haavard Skinnemoen30557932007-12-13 12:56:29 +0100321 uint offset)
wdenk5653fc32004-02-08 22:55:38 +0000322{
wdenkbf9e3b32004-02-12 00:47:09 +0000323 uchar *addr;
324 ulong retval;
wdenk5653fc32004-02-08 22:55:38 +0000325
wdenkbf9e3b32004-02-12 00:47:09 +0000326#ifdef DEBUG
327 int x;
328#endif
Mario Six188a5562018-01-26 14:43:31 +0100329 addr = flash_map(info, sect, offset);
wdenkbf9e3b32004-02-12 00:47:09 +0000330
331#ifdef DEBUG
Mario Six188a5562018-01-26 14:43:31 +0100332 debug("long addr is at %p info->portwidth = %d\n", addr,
Mario Sixc0350fb2018-01-26 14:43:55 +0100333 info->portwidth);
Mario Six0412e902018-01-26 14:43:38 +0100334 for (x = 0; x < 4 * info->portwidth; x++)
Mario Six188a5562018-01-26 14:43:31 +0100335 debug("addr[%x] = 0x%x\n", x, flash_read8(addr + x));
wdenkbf9e3b32004-02-12 00:47:09 +0000336#endif
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +0200337#if defined(__LITTLE_ENDIAN) || defined(CONFIG_SYS_WRITE_SWAPPED_DATA)
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +0100338 retval = ((flash_read8(addr) << 16) |
339 (flash_read8(addr + info->portwidth) << 24) |
340 (flash_read8(addr + 2 * info->portwidth)) |
341 (flash_read8(addr + 3 * info->portwidth) << 8));
wdenkbf9e3b32004-02-12 00:47:09 +0000342#else
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +0100343 retval = ((flash_read8(addr + 2 * info->portwidth - 1) << 24) |
344 (flash_read8(addr + info->portwidth - 1) << 16) |
345 (flash_read8(addr + 4 * info->portwidth - 1) << 8) |
346 (flash_read8(addr + 3 * info->portwidth - 1)));
wdenkbf9e3b32004-02-12 00:47:09 +0000347#endif
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +0100348 flash_unmap(info, sect, offset, addr);
349
wdenkbf9e3b32004-02-12 00:47:09 +0000350 return retval;
wdenk5653fc32004-02-08 22:55:38 +0000351}
352
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200353/*
354 * Write a proper sized command to the correct address
355 */
Marek Vasut236c49a2017-08-20 17:20:00 +0200356static void flash_write_cmd(flash_info_t *info, flash_sect_t sect,
357 uint offset, u32 cmd)
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200358{
Haavard Skinnemoencdbaefb2007-12-13 12:56:32 +0100359 void *addr;
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200360 cfiword_t cword;
361
Mario Six188a5562018-01-26 14:43:31 +0100362 addr = flash_map(info, sect, offset);
363 flash_make_cmd(info, cmd, &cword);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200364 switch (info->portwidth) {
365 case FLASH_CFI_8BIT:
Mario Six188a5562018-01-26 14:43:31 +0100366 debug("fwc addr %p cmd %x %x 8bit x %d bit\n", addr, cmd,
Mario Sixc0350fb2018-01-26 14:43:55 +0100367 cword.w8, info->chipwidth << CFI_FLASH_SHIFT_WIDTH);
Ryan Harkin622b9522015-10-23 16:50:51 +0100368 flash_write8(cword.w8, addr);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200369 break;
370 case FLASH_CFI_16BIT:
Mario Six188a5562018-01-26 14:43:31 +0100371 debug("fwc addr %p cmd %x %4.4x 16bit x %d bit\n", addr,
Mario Sixc0350fb2018-01-26 14:43:55 +0100372 cmd, cword.w16,
373 info->chipwidth << CFI_FLASH_SHIFT_WIDTH);
Ryan Harkin622b9522015-10-23 16:50:51 +0100374 flash_write16(cword.w16, addr);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200375 break;
376 case FLASH_CFI_32BIT:
Mario Six188a5562018-01-26 14:43:31 +0100377 debug("fwc addr %p cmd %x %8.8x 32bit x %d bit\n", addr,
Mario Sixc0350fb2018-01-26 14:43:55 +0100378 cmd, cword.w32,
379 info->chipwidth << CFI_FLASH_SHIFT_WIDTH);
Ryan Harkin622b9522015-10-23 16:50:51 +0100380 flash_write32(cword.w32, addr);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200381 break;
382 case FLASH_CFI_64BIT:
383#ifdef DEBUG
384 {
385 char str[20];
386
Mario Six188a5562018-01-26 14:43:31 +0100387 print_longlong(str, cword.w64);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200388
Mario Six188a5562018-01-26 14:43:31 +0100389 debug("fwrite addr %p cmd %x %s 64 bit x %d bit\n",
Mario Sixc0350fb2018-01-26 14:43:55 +0100390 addr, cmd, str,
391 info->chipwidth << CFI_FLASH_SHIFT_WIDTH);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200392 }
393#endif
Ryan Harkin622b9522015-10-23 16:50:51 +0100394 flash_write64(cword.w64, addr);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200395 break;
396 }
397
398 /* Ensure all the instructions are fully finished */
399 sync();
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +0100400
401 flash_unmap(info, sect, offset, addr);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200402}
403
Mario Sixca2b07a2018-01-26 14:43:32 +0100404static void flash_unlock_seq(flash_info_t *info, flash_sect_t sect)
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200405{
Mario Six188a5562018-01-26 14:43:31 +0100406 flash_write_cmd(info, sect, info->addr_unlock1, AMD_CMD_UNLOCK_START);
407 flash_write_cmd(info, sect, info->addr_unlock2, AMD_CMD_UNLOCK_ACK);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200408}
409
410/*-----------------------------------------------------------------------
411 */
Mario Sixc0350fb2018-01-26 14:43:55 +0100412static int flash_isequal(flash_info_t *info, flash_sect_t sect, uint offset,
413 uchar cmd)
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200414{
Haavard Skinnemoencdbaefb2007-12-13 12:56:32 +0100415 void *addr;
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200416 cfiword_t cword;
417 int retval;
418
Mario Six188a5562018-01-26 14:43:31 +0100419 addr = flash_map(info, sect, offset);
420 flash_make_cmd(info, cmd, &cword);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200421
Mario Six188a5562018-01-26 14:43:31 +0100422 debug("is= cmd %x(%c) addr %p ", cmd, cmd, addr);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200423 switch (info->portwidth) {
424 case FLASH_CFI_8BIT:
Mario Six188a5562018-01-26 14:43:31 +0100425 debug("is= %x %x\n", flash_read8(addr), cword.w8);
Ryan Harkin622b9522015-10-23 16:50:51 +0100426 retval = (flash_read8(addr) == cword.w8);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200427 break;
428 case FLASH_CFI_16BIT:
Mario Six188a5562018-01-26 14:43:31 +0100429 debug("is= %4.4x %4.4x\n", flash_read16(addr), cword.w16);
Ryan Harkin622b9522015-10-23 16:50:51 +0100430 retval = (flash_read16(addr) == cword.w16);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200431 break;
432 case FLASH_CFI_32BIT:
Mario Six188a5562018-01-26 14:43:31 +0100433 debug("is= %8.8x %8.8x\n", flash_read32(addr), cword.w32);
Ryan Harkin622b9522015-10-23 16:50:51 +0100434 retval = (flash_read32(addr) == cword.w32);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200435 break;
436 case FLASH_CFI_64BIT:
437#ifdef DEBUG
438 {
439 char str1[20];
440 char str2[20];
441
Mario Six188a5562018-01-26 14:43:31 +0100442 print_longlong(str1, flash_read64(addr));
443 print_longlong(str2, cword.w64);
444 debug("is= %s %s\n", str1, str2);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200445 }
446#endif
Ryan Harkin622b9522015-10-23 16:50:51 +0100447 retval = (flash_read64(addr) == cword.w64);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200448 break;
449 default:
450 retval = 0;
451 break;
452 }
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +0100453 flash_unmap(info, sect, offset, addr);
454
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200455 return retval;
456}
457
458/*-----------------------------------------------------------------------
459 */
Mario Sixc0350fb2018-01-26 14:43:55 +0100460static int flash_isset(flash_info_t *info, flash_sect_t sect, uint offset,
461 uchar cmd)
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200462{
Haavard Skinnemoencdbaefb2007-12-13 12:56:32 +0100463 void *addr;
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200464 cfiword_t cword;
465 int retval;
466
Mario Six188a5562018-01-26 14:43:31 +0100467 addr = flash_map(info, sect, offset);
468 flash_make_cmd(info, cmd, &cword);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200469 switch (info->portwidth) {
470 case FLASH_CFI_8BIT:
Ryan Harkin622b9522015-10-23 16:50:51 +0100471 retval = ((flash_read8(addr) & cword.w8) == cword.w8);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200472 break;
473 case FLASH_CFI_16BIT:
Ryan Harkin622b9522015-10-23 16:50:51 +0100474 retval = ((flash_read16(addr) & cword.w16) == cword.w16);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200475 break;
476 case FLASH_CFI_32BIT:
Ryan Harkin622b9522015-10-23 16:50:51 +0100477 retval = ((flash_read32(addr) & cword.w32) == cword.w32);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200478 break;
479 case FLASH_CFI_64BIT:
Ryan Harkin622b9522015-10-23 16:50:51 +0100480 retval = ((flash_read64(addr) & cword.w64) == cword.w64);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200481 break;
482 default:
483 retval = 0;
484 break;
485 }
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +0100486 flash_unmap(info, sect, offset, addr);
487
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200488 return retval;
489}
490
491/*-----------------------------------------------------------------------
492 */
Mario Sixc0350fb2018-01-26 14:43:55 +0100493static int flash_toggle(flash_info_t *info, flash_sect_t sect, uint offset,
494 uchar cmd)
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200495{
Mario Six53128382018-01-26 14:43:49 +0100496 u8 *addr;
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200497 cfiword_t cword;
498 int retval;
499
Mario Six188a5562018-01-26 14:43:31 +0100500 addr = flash_map(info, sect, offset);
501 flash_make_cmd(info, cmd, &cword);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200502 switch (info->portwidth) {
503 case FLASH_CFI_8BIT:
Stefan Roesefb8c0612008-06-16 10:40:02 +0200504 retval = flash_read8(addr) != flash_read8(addr);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200505 break;
506 case FLASH_CFI_16BIT:
Stefan Roesefb8c0612008-06-16 10:40:02 +0200507 retval = flash_read16(addr) != flash_read16(addr);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200508 break;
509 case FLASH_CFI_32BIT:
Stefan Roesefb8c0612008-06-16 10:40:02 +0200510 retval = flash_read32(addr) != flash_read32(addr);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200511 break;
512 case FLASH_CFI_64BIT:
Mario Sixb1683862018-01-26 14:43:33 +0100513 retval = ((flash_read32(addr) != flash_read32(addr)) ||
Mario Six640f4e32018-01-26 14:43:36 +0100514 (flash_read32(addr + 4) != flash_read32(addr + 4)));
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200515 break;
516 default:
517 retval = 0;
518 break;
519 }
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +0100520 flash_unmap(info, sect, offset, addr);
521
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200522 return retval;
523}
524
525/*
526 * flash_is_busy - check to see if the flash is busy
527 *
528 * This routine checks the status of the chip and returns true if the
529 * chip is busy.
530 */
Mario Sixca2b07a2018-01-26 14:43:32 +0100531static int flash_is_busy(flash_info_t *info, flash_sect_t sect)
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200532{
533 int retval;
534
535 switch (info->vendor) {
Vasiliy Leoenenko9c048b52008-05-07 21:25:33 +0400536 case CFI_CMDSET_INTEL_PROG_REGIONS:
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200537 case CFI_CMDSET_INTEL_STANDARD:
538 case CFI_CMDSET_INTEL_EXTENDED:
Mario Six188a5562018-01-26 14:43:31 +0100539 retval = !flash_isset(info, sect, 0, FLASH_STATUS_DONE);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200540 break;
541 case CFI_CMDSET_AMD_STANDARD:
542 case CFI_CMDSET_AMD_EXTENDED:
Michael Schwingen81b20cc2007-12-07 23:35:02 +0100543#ifdef CONFIG_FLASH_CFI_LEGACY
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200544 case CFI_CMDSET_AMD_LEGACY:
545#endif
Marek Vasut72443c72017-09-12 19:09:31 +0200546 if (info->sr_supported) {
Mario Six188a5562018-01-26 14:43:31 +0100547 flash_write_cmd(info, sect, info->addr_unlock1,
Mario Sixc0350fb2018-01-26 14:43:55 +0100548 FLASH_CMD_READ_STATUS);
Mario Six188a5562018-01-26 14:43:31 +0100549 retval = !flash_isset(info, sect, 0,
Mario Sixc0350fb2018-01-26 14:43:55 +0100550 FLASH_STATUS_DONE);
Marek Vasut72443c72017-09-12 19:09:31 +0200551 } else {
Mario Six188a5562018-01-26 14:43:31 +0100552 retval = flash_toggle(info, sect, 0,
Mario Sixc0350fb2018-01-26 14:43:55 +0100553 AMD_STATUS_TOGGLE);
Marek Vasut72443c72017-09-12 19:09:31 +0200554 }
555
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200556 break;
557 default:
558 retval = 0;
Michael Schwingen81b20cc2007-12-07 23:35:02 +0100559 }
Mario Six38d28312018-01-26 14:43:40 +0100560 debug("%s: %d\n", __func__, retval);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200561 return retval;
Michael Schwingen81b20cc2007-12-07 23:35:02 +0100562}
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200563
564/*-----------------------------------------------------------------------
565 * wait for XSR.7 to be set. Time out with an error if it does not.
566 * This routine does not set the flash to read-array mode.
567 */
Mario Sixca2b07a2018-01-26 14:43:32 +0100568static int flash_status_check(flash_info_t *info, flash_sect_t sector,
Mario Sixc0350fb2018-01-26 14:43:55 +0100569 ulong tout, char *prompt)
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200570{
571 ulong start;
572
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +0200573#if CONFIG_SYS_HZ != 1000
Mario Sixddcf0542018-01-26 14:43:54 +0100574 /* Avoid overflow for large HZ */
Renato Andreolac40c94a2010-03-24 23:00:47 +0800575 if ((ulong)CONFIG_SYS_HZ > 100000)
Mario Sixddcf0542018-01-26 14:43:54 +0100576 tout *= (ulong)CONFIG_SYS_HZ / 1000;
Renato Andreolac40c94a2010-03-24 23:00:47 +0800577 else
578 tout = DIV_ROUND_UP(tout * (ulong)CONFIG_SYS_HZ, 1000);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200579#endif
580
581 /* Wait for command completion */
Graeme Russe110c4f2011-07-15 02:18:56 +0000582#ifdef CONFIG_SYS_LOW_RES_TIMER
Thomas Chou22d6c8f2010-04-01 11:15:05 +0800583 reset_timer();
Graeme Russe110c4f2011-07-15 02:18:56 +0000584#endif
Mario Six188a5562018-01-26 14:43:31 +0100585 start = get_timer(0);
Jens Scharsig (BuS Elektronik)a9f5fab2012-01-27 09:29:53 +0100586 WATCHDOG_RESET();
Mario Six188a5562018-01-26 14:43:31 +0100587 while (flash_is_busy(info, sector)) {
588 if (get_timer(start) > tout) {
589 printf("Flash %s timeout at address %lx data %lx\n",
Mario Sixc0350fb2018-01-26 14:43:55 +0100590 prompt, info->start[sector],
591 flash_read_long(info, sector, 0));
Mario Six188a5562018-01-26 14:43:31 +0100592 flash_write_cmd(info, sector, 0, info->cmd_reset);
Stefan Roesee303be22013-04-12 19:04:54 +0200593 udelay(1);
Mario Six9dbaebc2018-01-26 14:43:52 +0100594 return ERR_TIMEOUT;
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200595 }
Mario Six188a5562018-01-26 14:43:31 +0100596 udelay(1); /* also triggers watchdog */
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200597 }
598 return ERR_OK;
599}
600
601/*-----------------------------------------------------------------------
602 * Wait for XSR.7 to be set, if it times out print an error, otherwise
603 * do a full status check.
604 *
605 * This routine sets the flash to read-array mode.
606 */
Mario Sixca2b07a2018-01-26 14:43:32 +0100607static int flash_full_status_check(flash_info_t *info, flash_sect_t sector,
Mario Sixc0350fb2018-01-26 14:43:55 +0100608 ulong tout, char *prompt)
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200609{
610 int retcode;
611
Mario Six188a5562018-01-26 14:43:31 +0100612 retcode = flash_status_check(info, sector, tout, prompt);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200613 switch (info->vendor) {
Vasiliy Leoenenko9c048b52008-05-07 21:25:33 +0400614 case CFI_CMDSET_INTEL_PROG_REGIONS:
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200615 case CFI_CMDSET_INTEL_EXTENDED:
616 case CFI_CMDSET_INTEL_STANDARD:
Mario Six4f89da42018-01-26 14:43:42 +0100617 if (retcode == ERR_OK &&
Mario Sixc0350fb2018-01-26 14:43:55 +0100618 !flash_isset(info, sector, 0, FLASH_STATUS_DONE)) {
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200619 retcode = ERR_INVAL;
Mario Six188a5562018-01-26 14:43:31 +0100620 printf("Flash %s error at address %lx\n", prompt,
Mario Sixc0350fb2018-01-26 14:43:55 +0100621 info->start[sector]);
Mario Six188a5562018-01-26 14:43:31 +0100622 if (flash_isset(info, sector, 0, FLASH_STATUS_ECLBS |
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200623 FLASH_STATUS_PSLBS)) {
Mario Six188a5562018-01-26 14:43:31 +0100624 puts("Command Sequence Error.\n");
625 } else if (flash_isset(info, sector, 0,
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200626 FLASH_STATUS_ECLBS)) {
Mario Six188a5562018-01-26 14:43:31 +0100627 puts("Block Erase Error.\n");
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200628 retcode = ERR_NOT_ERASED;
Mario Six188a5562018-01-26 14:43:31 +0100629 } else if (flash_isset(info, sector, 0,
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200630 FLASH_STATUS_PSLBS)) {
Mario Six188a5562018-01-26 14:43:31 +0100631 puts("Locking Error\n");
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200632 }
Mario Six188a5562018-01-26 14:43:31 +0100633 if (flash_isset(info, sector, 0, FLASH_STATUS_DPS)) {
634 puts("Block locked.\n");
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200635 retcode = ERR_PROTECTED;
636 }
Mario Six188a5562018-01-26 14:43:31 +0100637 if (flash_isset(info, sector, 0, FLASH_STATUS_VPENS))
638 puts("Vpp Low Error.\n");
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200639 }
Mario Six188a5562018-01-26 14:43:31 +0100640 flash_write_cmd(info, sector, 0, info->cmd_reset);
Aaron Williamsa90b9572011-04-12 00:59:04 -0700641 udelay(1);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200642 break;
643 default:
644 break;
645 }
646 return retcode;
647}
648
Thomas Choue5720822010-03-26 08:17:00 +0800649static int use_flash_status_poll(flash_info_t *info)
650{
651#ifdef CONFIG_SYS_CFI_FLASH_STATUS_POLL
652 if (info->vendor == CFI_CMDSET_AMD_EXTENDED ||
653 info->vendor == CFI_CMDSET_AMD_STANDARD)
654 return 1;
655#endif
656 return 0;
657}
658
659static int flash_status_poll(flash_info_t *info, void *src, void *dst,
660 ulong tout, char *prompt)
661{
662#ifdef CONFIG_SYS_CFI_FLASH_STATUS_POLL
663 ulong start;
664 int ready;
665
666#if CONFIG_SYS_HZ != 1000
Mario Sixddcf0542018-01-26 14:43:54 +0100667 /* Avoid overflow for large HZ */
Thomas Choue5720822010-03-26 08:17:00 +0800668 if ((ulong)CONFIG_SYS_HZ > 100000)
Mario Sixddcf0542018-01-26 14:43:54 +0100669 tout *= (ulong)CONFIG_SYS_HZ / 1000;
Thomas Choue5720822010-03-26 08:17:00 +0800670 else
671 tout = DIV_ROUND_UP(tout * (ulong)CONFIG_SYS_HZ, 1000);
672#endif
673
674 /* Wait for command completion */
Graeme Russe110c4f2011-07-15 02:18:56 +0000675#ifdef CONFIG_SYS_LOW_RES_TIMER
Thomas Chou22d6c8f2010-04-01 11:15:05 +0800676 reset_timer();
Graeme Russe110c4f2011-07-15 02:18:56 +0000677#endif
Thomas Choue5720822010-03-26 08:17:00 +0800678 start = get_timer(0);
Jens Scharsig (BuS Elektronik)a9f5fab2012-01-27 09:29:53 +0100679 WATCHDOG_RESET();
Thomas Choue5720822010-03-26 08:17:00 +0800680 while (1) {
681 switch (info->portwidth) {
682 case FLASH_CFI_8BIT:
683 ready = flash_read8(dst) == flash_read8(src);
684 break;
685 case FLASH_CFI_16BIT:
686 ready = flash_read16(dst) == flash_read16(src);
687 break;
688 case FLASH_CFI_32BIT:
689 ready = flash_read32(dst) == flash_read32(src);
690 break;
691 case FLASH_CFI_64BIT:
692 ready = flash_read64(dst) == flash_read64(src);
693 break;
694 default:
695 ready = 0;
696 break;
697 }
698 if (ready)
699 break;
700 if (get_timer(start) > tout) {
701 printf("Flash %s timeout at address %lx data %lx\n",
702 prompt, (ulong)dst, (ulong)flash_read8(dst));
Mario Six9dbaebc2018-01-26 14:43:52 +0100703 return ERR_TIMEOUT;
Thomas Choue5720822010-03-26 08:17:00 +0800704 }
705 udelay(1); /* also triggers watchdog */
706 }
707#endif /* CONFIG_SYS_CFI_FLASH_STATUS_POLL */
708 return ERR_OK;
709}
710
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200711/*-----------------------------------------------------------------------
712 */
Mario Sixca2b07a2018-01-26 14:43:32 +0100713static void flash_add_byte(flash_info_t *info, cfiword_t *cword, uchar c)
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200714{
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +0200715#if defined(__LITTLE_ENDIAN) && !defined(CONFIG_SYS_WRITE_SWAPPED_DATA)
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200716 unsigned short w;
717 unsigned int l;
718 unsigned long long ll;
719#endif
720
721 switch (info->portwidth) {
722 case FLASH_CFI_8BIT:
Ryan Harkin622b9522015-10-23 16:50:51 +0100723 cword->w8 = c;
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200724 break;
725 case FLASH_CFI_16BIT:
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +0200726#if defined(__LITTLE_ENDIAN) && !defined(CONFIG_SYS_WRITE_SWAPPED_DATA)
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200727 w = c;
728 w <<= 8;
Ryan Harkin622b9522015-10-23 16:50:51 +0100729 cword->w16 = (cword->w16 >> 8) | w;
Michael Schwingen81b20cc2007-12-07 23:35:02 +0100730#else
Ryan Harkin622b9522015-10-23 16:50:51 +0100731 cword->w16 = (cword->w16 << 8) | c;
Michael Schwingen81b20cc2007-12-07 23:35:02 +0100732#endif
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200733 break;
734 case FLASH_CFI_32BIT:
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +0200735#if defined(__LITTLE_ENDIAN) && !defined(CONFIG_SYS_WRITE_SWAPPED_DATA)
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200736 l = c;
737 l <<= 24;
Ryan Harkin622b9522015-10-23 16:50:51 +0100738 cword->w32 = (cword->w32 >> 8) | l;
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200739#else
Ryan Harkin622b9522015-10-23 16:50:51 +0100740 cword->w32 = (cword->w32 << 8) | c;
Stefan Roese2662b402006-04-01 13:41:03 +0200741#endif
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200742 break;
743 case FLASH_CFI_64BIT:
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +0200744#if defined(__LITTLE_ENDIAN) && !defined(CONFIG_SYS_WRITE_SWAPPED_DATA)
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200745 ll = c;
746 ll <<= 56;
Ryan Harkin622b9522015-10-23 16:50:51 +0100747 cword->w64 = (cword->w64 >> 8) | ll;
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200748#else
Ryan Harkin622b9522015-10-23 16:50:51 +0100749 cword->w64 = (cword->w64 << 8) | c;
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200750#endif
751 break;
wdenk5653fc32004-02-08 22:55:38 +0000752 }
wdenk5653fc32004-02-08 22:55:38 +0000753}
754
Jens Gehrlein0f8e8512008-12-16 17:25:55 +0100755/*
756 * Loop through the sector table starting from the previously found sector.
757 * Searches forwards or backwards, dependent on the passed address.
wdenk5653fc32004-02-08 22:55:38 +0000758 */
Mario Sixca2b07a2018-01-26 14:43:32 +0100759static flash_sect_t find_sector(flash_info_t *info, ulong addr)
wdenk7680c142005-05-16 15:23:22 +0000760{
Kim Phillips11dc4012012-10-29 13:34:45 +0000761 static flash_sect_t saved_sector; /* previously found sector */
Stefan Roesee303be22013-04-12 19:04:54 +0200762 static flash_info_t *saved_info; /* previously used flash bank */
Jens Gehrlein0f8e8512008-12-16 17:25:55 +0100763 flash_sect_t sector = saved_sector;
wdenk7680c142005-05-16 15:23:22 +0000764
Mario Six4f89da42018-01-26 14:43:42 +0100765 if (info != saved_info || sector >= info->sector_count)
Stefan Roesee303be22013-04-12 19:04:54 +0200766 sector = 0;
767
Mario Six5701ba82018-01-26 14:43:53 +0100768 while ((sector < info->sector_count - 1) &&
Mario Sixc0350fb2018-01-26 14:43:55 +0100769 (info->start[sector] < addr))
Jens Gehrlein0f8e8512008-12-16 17:25:55 +0100770 sector++;
771 while ((info->start[sector] > addr) && (sector > 0))
772 /*
773 * also decrements the sector in case of an overshot
774 * in the first loop
775 */
776 sector--;
777
778 saved_sector = sector;
Stefan Roesee303be22013-04-12 19:04:54 +0200779 saved_info = info;
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200780 return sector;
wdenk7680c142005-05-16 15:23:22 +0000781}
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200782
783/*-----------------------------------------------------------------------
784 */
Mario Sixc0350fb2018-01-26 14:43:55 +0100785static int flash_write_cfiword(flash_info_t *info, ulong dest, cfiword_t cword)
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200786{
Becky Bruce09ce9922009-02-02 16:34:51 -0600787 void *dstaddr = (void *)dest;
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200788 int flag;
Jens Gehrleina7292872008-12-16 17:25:54 +0100789 flash_sect_t sect = 0;
790 char sect_found = 0;
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200791
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200792 /* Check if Flash is (sufficiently) erased */
793 switch (info->portwidth) {
794 case FLASH_CFI_8BIT:
Ryan Harkin622b9522015-10-23 16:50:51 +0100795 flag = ((flash_read8(dstaddr) & cword.w8) == cword.w8);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200796 break;
797 case FLASH_CFI_16BIT:
Ryan Harkin622b9522015-10-23 16:50:51 +0100798 flag = ((flash_read16(dstaddr) & cword.w16) == cword.w16);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200799 break;
800 case FLASH_CFI_32BIT:
Ryan Harkin622b9522015-10-23 16:50:51 +0100801 flag = ((flash_read32(dstaddr) & cword.w32) == cword.w32);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200802 break;
803 case FLASH_CFI_64BIT:
Ryan Harkin622b9522015-10-23 16:50:51 +0100804 flag = ((flash_read64(dstaddr) & cword.w64) == cword.w64);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200805 break;
806 default:
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +0100807 flag = 0;
808 break;
809 }
Becky Bruce09ce9922009-02-02 16:34:51 -0600810 if (!flag)
Stefan Roese0dc80e22007-12-27 07:50:54 +0100811 return ERR_NOT_ERASED;
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200812
813 /* Disable interrupts which might cause a timeout here */
Mario Six188a5562018-01-26 14:43:31 +0100814 flag = disable_interrupts();
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200815
816 switch (info->vendor) {
Vasiliy Leoenenko9c048b52008-05-07 21:25:33 +0400817 case CFI_CMDSET_INTEL_PROG_REGIONS:
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200818 case CFI_CMDSET_INTEL_EXTENDED:
819 case CFI_CMDSET_INTEL_STANDARD:
Mario Six188a5562018-01-26 14:43:31 +0100820 flash_write_cmd(info, 0, 0, FLASH_CMD_CLEAR_STATUS);
821 flash_write_cmd(info, 0, 0, FLASH_CMD_WRITE);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200822 break;
823 case CFI_CMDSET_AMD_EXTENDED:
824 case CFI_CMDSET_AMD_STANDARD:
Ed Swarthout0d01f662008-10-09 01:26:36 -0500825 sect = find_sector(info, dest);
Mario Six188a5562018-01-26 14:43:31 +0100826 flash_unlock_seq(info, sect);
827 flash_write_cmd(info, sect, info->addr_unlock1, AMD_CMD_WRITE);
Jens Gehrleina7292872008-12-16 17:25:54 +0100828 sect_found = 1;
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200829 break;
Po-Yu Chuangb4db4a72009-07-10 18:03:57 +0800830#ifdef CONFIG_FLASH_CFI_LEGACY
831 case CFI_CMDSET_AMD_LEGACY:
832 sect = find_sector(info, dest);
Mario Six188a5562018-01-26 14:43:31 +0100833 flash_unlock_seq(info, 0);
834 flash_write_cmd(info, 0, info->addr_unlock1, AMD_CMD_WRITE);
Po-Yu Chuangb4db4a72009-07-10 18:03:57 +0800835 sect_found = 1;
836 break;
837#endif
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200838 }
839
840 switch (info->portwidth) {
841 case FLASH_CFI_8BIT:
Ryan Harkin622b9522015-10-23 16:50:51 +0100842 flash_write8(cword.w8, dstaddr);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200843 break;
844 case FLASH_CFI_16BIT:
Ryan Harkin622b9522015-10-23 16:50:51 +0100845 flash_write16(cword.w16, dstaddr);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200846 break;
847 case FLASH_CFI_32BIT:
Ryan Harkin622b9522015-10-23 16:50:51 +0100848 flash_write32(cword.w32, dstaddr);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200849 break;
850 case FLASH_CFI_64BIT:
Ryan Harkin622b9522015-10-23 16:50:51 +0100851 flash_write64(cword.w64, dstaddr);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200852 break;
853 }
854
855 /* re-enable interrupts if necessary */
856 if (flag)
Mario Six188a5562018-01-26 14:43:31 +0100857 enable_interrupts();
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200858
Jens Gehrleina7292872008-12-16 17:25:54 +0100859 if (!sect_found)
Mario Six188a5562018-01-26 14:43:31 +0100860 sect = find_sector(info, dest);
Jens Gehrleina7292872008-12-16 17:25:54 +0100861
Thomas Choue5720822010-03-26 08:17:00 +0800862 if (use_flash_status_poll(info))
863 return flash_status_poll(info, &cword, dstaddr,
864 info->write_tout, "write");
865 else
866 return flash_full_status_check(info, sect,
867 info->write_tout, "write");
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200868}
869
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +0200870#ifdef CONFIG_SYS_FLASH_USE_BUFFER_WRITE
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200871
Mario Sixca2b07a2018-01-26 14:43:32 +0100872static int flash_write_cfibuffer(flash_info_t *info, ulong dest, uchar *cp,
Mario Sixc0350fb2018-01-26 14:43:55 +0100873 int len)
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200874{
875 flash_sect_t sector;
876 int cnt;
877 int retcode;
Mario Six53128382018-01-26 14:43:49 +0100878 u8 *src = cp;
879 u8 *dst = (u8 *)dest;
880 u8 *dst2 = dst;
Tao Hou85c344e2012-03-15 23:33:58 +0800881 int flag = 1;
Guennadi Liakhovetski96ef8312008-04-03 13:36:02 +0200882 uint offset = 0;
883 unsigned int shift;
Vasiliy Leoenenko9c048b52008-05-07 21:25:33 +0400884 uchar write_cmd;
Haavard Skinnemoencdbaefb2007-12-13 12:56:32 +0100885
Stefan Roese0dc80e22007-12-27 07:50:54 +0100886 switch (info->portwidth) {
887 case FLASH_CFI_8BIT:
Guennadi Liakhovetski96ef8312008-04-03 13:36:02 +0200888 shift = 0;
Stefan Roese0dc80e22007-12-27 07:50:54 +0100889 break;
890 case FLASH_CFI_16BIT:
Guennadi Liakhovetski96ef8312008-04-03 13:36:02 +0200891 shift = 1;
Stefan Roese0dc80e22007-12-27 07:50:54 +0100892 break;
893 case FLASH_CFI_32BIT:
Guennadi Liakhovetski96ef8312008-04-03 13:36:02 +0200894 shift = 2;
Stefan Roese0dc80e22007-12-27 07:50:54 +0100895 break;
896 case FLASH_CFI_64BIT:
Guennadi Liakhovetski96ef8312008-04-03 13:36:02 +0200897 shift = 3;
Stefan Roese0dc80e22007-12-27 07:50:54 +0100898 break;
899 default:
900 retcode = ERR_INVAL;
901 goto out_unmap;
902 }
903
Guennadi Liakhovetski96ef8312008-04-03 13:36:02 +0200904 cnt = len >> shift;
905
Tao Hou85c344e2012-03-15 23:33:58 +0800906 while ((cnt-- > 0) && (flag == 1)) {
Stefan Roese0dc80e22007-12-27 07:50:54 +0100907 switch (info->portwidth) {
908 case FLASH_CFI_8BIT:
909 flag = ((flash_read8(dst2) & flash_read8(src)) ==
910 flash_read8(src));
911 src += 1, dst2 += 1;
912 break;
913 case FLASH_CFI_16BIT:
914 flag = ((flash_read16(dst2) & flash_read16(src)) ==
915 flash_read16(src));
916 src += 2, dst2 += 2;
917 break;
918 case FLASH_CFI_32BIT:
919 flag = ((flash_read32(dst2) & flash_read32(src)) ==
920 flash_read32(src));
921 src += 4, dst2 += 4;
922 break;
923 case FLASH_CFI_64BIT:
924 flag = ((flash_read64(dst2) & flash_read64(src)) ==
925 flash_read64(src));
926 src += 8, dst2 += 8;
927 break;
928 }
929 }
930 if (!flag) {
931 retcode = ERR_NOT_ERASED;
932 goto out_unmap;
933 }
934
935 src = cp;
Mario Six188a5562018-01-26 14:43:31 +0100936 sector = find_sector(info, dest);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200937
938 switch (info->vendor) {
Vasiliy Leoenenko9c048b52008-05-07 21:25:33 +0400939 case CFI_CMDSET_INTEL_PROG_REGIONS:
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200940 case CFI_CMDSET_INTEL_STANDARD:
941 case CFI_CMDSET_INTEL_EXTENDED:
Vasiliy Leoenenko9c048b52008-05-07 21:25:33 +0400942 write_cmd = (info->vendor == CFI_CMDSET_INTEL_PROG_REGIONS) ?
Mario Sixddcf0542018-01-26 14:43:54 +0100943 FLASH_CMD_WRITE_BUFFER_PROG :
944 FLASH_CMD_WRITE_TO_BUFFER;
Mario Six188a5562018-01-26 14:43:31 +0100945 flash_write_cmd(info, sector, 0, FLASH_CMD_CLEAR_STATUS);
946 flash_write_cmd(info, sector, 0, FLASH_CMD_READ_STATUS);
947 flash_write_cmd(info, sector, 0, write_cmd);
948 retcode = flash_status_check(info, sector,
Mario Sixc0350fb2018-01-26 14:43:55 +0100949 info->buffer_write_tout,
950 "write to buffer");
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200951 if (retcode == ERR_OK) {
952 /* reduce the number of loops by the width of
Mario Sixa6d18f22018-01-26 14:43:41 +0100953 * the port
954 */
Guennadi Liakhovetski96ef8312008-04-03 13:36:02 +0200955 cnt = len >> shift;
Mario Six188a5562018-01-26 14:43:31 +0100956 flash_write_cmd(info, sector, 0, cnt - 1);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200957 while (cnt-- > 0) {
958 switch (info->portwidth) {
959 case FLASH_CFI_8BIT:
Haavard Skinnemoencdbaefb2007-12-13 12:56:32 +0100960 flash_write8(flash_read8(src), dst);
961 src += 1, dst += 1;
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200962 break;
963 case FLASH_CFI_16BIT:
Haavard Skinnemoencdbaefb2007-12-13 12:56:32 +0100964 flash_write16(flash_read16(src), dst);
965 src += 2, dst += 2;
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200966 break;
967 case FLASH_CFI_32BIT:
Haavard Skinnemoencdbaefb2007-12-13 12:56:32 +0100968 flash_write32(flash_read32(src), dst);
969 src += 4, dst += 4;
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200970 break;
971 case FLASH_CFI_64BIT:
Haavard Skinnemoencdbaefb2007-12-13 12:56:32 +0100972 flash_write64(flash_read64(src), dst);
973 src += 8, dst += 8;
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200974 break;
975 default:
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +0100976 retcode = ERR_INVAL;
977 goto out_unmap;
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200978 }
979 }
Mario Six188a5562018-01-26 14:43:31 +0100980 flash_write_cmd(info, sector, 0,
Mario Sixc0350fb2018-01-26 14:43:55 +0100981 FLASH_CMD_WRITE_BUFFER_CONFIRM);
Mario Six188a5562018-01-26 14:43:31 +0100982 retcode = flash_full_status_check(
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200983 info, sector, info->buffer_write_tout,
984 "buffer write");
985 }
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +0100986
987 break;
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200988
989 case CFI_CMDSET_AMD_STANDARD:
990 case CFI_CMDSET_AMD_EXTENDED:
Rouven Behr7570a0c2016-04-10 13:38:13 +0200991 flash_unlock_seq(info, sector);
Guennadi Liakhovetski96ef8312008-04-03 13:36:02 +0200992
993#ifdef CONFIG_FLASH_SPANSION_S29WS_N
994 offset = ((unsigned long)dst - info->start[sector]) >> shift;
995#endif
996 flash_write_cmd(info, sector, offset, AMD_CMD_WRITE_TO_BUFFER);
997 cnt = len >> shift;
John Schmoller7dedefd2009-08-12 10:55:47 -0500998 flash_write_cmd(info, sector, offset, cnt - 1);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +0200999
1000 switch (info->portwidth) {
1001 case FLASH_CFI_8BIT:
Haavard Skinnemoencdbaefb2007-12-13 12:56:32 +01001002 while (cnt-- > 0) {
1003 flash_write8(flash_read8(src), dst);
1004 src += 1, dst += 1;
1005 }
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +02001006 break;
1007 case FLASH_CFI_16BIT:
Haavard Skinnemoencdbaefb2007-12-13 12:56:32 +01001008 while (cnt-- > 0) {
1009 flash_write16(flash_read16(src), dst);
1010 src += 2, dst += 2;
1011 }
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +02001012 break;
1013 case FLASH_CFI_32BIT:
Haavard Skinnemoencdbaefb2007-12-13 12:56:32 +01001014 while (cnt-- > 0) {
1015 flash_write32(flash_read32(src), dst);
1016 src += 4, dst += 4;
1017 }
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +02001018 break;
1019 case FLASH_CFI_64BIT:
Haavard Skinnemoencdbaefb2007-12-13 12:56:32 +01001020 while (cnt-- > 0) {
1021 flash_write64(flash_read64(src), dst);
1022 src += 8, dst += 8;
1023 }
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +02001024 break;
1025 default:
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +01001026 retcode = ERR_INVAL;
1027 goto out_unmap;
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +02001028 }
1029
Mario Six188a5562018-01-26 14:43:31 +01001030 flash_write_cmd(info, sector, 0, AMD_CMD_WRITE_BUFFER_CONFIRM);
Thomas Choue5720822010-03-26 08:17:00 +08001031 if (use_flash_status_poll(info))
1032 retcode = flash_status_poll(info, src - (1 << shift),
1033 dst - (1 << shift),
1034 info->buffer_write_tout,
1035 "buffer write");
1036 else
1037 retcode = flash_full_status_check(info, sector,
1038 info->buffer_write_tout,
1039 "buffer write");
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +01001040 break;
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +02001041
1042 default:
Mario Six188a5562018-01-26 14:43:31 +01001043 debug("Unknown Command Set\n");
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +01001044 retcode = ERR_INVAL;
1045 break;
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +02001046 }
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +01001047
1048out_unmap:
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +01001049 return retcode;
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +02001050}
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +02001051#endif /* CONFIG_SYS_FLASH_USE_BUFFER_WRITE */
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +02001052
wdenk7680c142005-05-16 15:23:22 +00001053/*-----------------------------------------------------------------------
1054 */
Mario Sixca2b07a2018-01-26 14:43:32 +01001055int flash_erase(flash_info_t *info, int s_first, int s_last)
wdenk5653fc32004-02-08 22:55:38 +00001056{
1057 int rcode = 0;
1058 int prot;
1059 flash_sect_t sect;
Thomas Choue5720822010-03-26 08:17:00 +08001060 int st;
wdenk5653fc32004-02-08 22:55:38 +00001061
wdenkbf9e3b32004-02-12 00:47:09 +00001062 if (info->flash_id != FLASH_MAN_CFI) {
Mario Six188a5562018-01-26 14:43:31 +01001063 puts("Can't erase unknown flash type - aborted\n");
wdenk5653fc32004-02-08 22:55:38 +00001064 return 1;
1065 }
Mario Six4f89da42018-01-26 14:43:42 +01001066 if (s_first < 0 || s_first > s_last) {
Mario Six188a5562018-01-26 14:43:31 +01001067 puts("- no sectors to erase\n");
wdenk5653fc32004-02-08 22:55:38 +00001068 return 1;
1069 }
1070
1071 prot = 0;
Mario Six0412e902018-01-26 14:43:38 +01001072 for (sect = s_first; sect <= s_last; ++sect)
1073 if (info->protect[sect])
wdenk5653fc32004-02-08 22:55:38 +00001074 prot++;
wdenk5653fc32004-02-08 22:55:38 +00001075 if (prot) {
Mario Six188a5562018-01-26 14:43:31 +01001076 printf("- Warning: %d protected sectors will not be erased!\n",
Mario Sixc0350fb2018-01-26 14:43:55 +01001077 prot);
Piotr Ziecik6ea808e2008-11-17 15:49:32 +01001078 } else if (flash_verbose) {
Mario Six188a5562018-01-26 14:43:31 +01001079 putc('\n');
wdenk5653fc32004-02-08 22:55:38 +00001080 }
1081
wdenkbf9e3b32004-02-12 00:47:09 +00001082 for (sect = s_first; sect <= s_last; sect++) {
Joe Hershbergerde15a062012-08-17 15:36:41 -05001083 if (ctrlc()) {
1084 printf("\n");
1085 return 1;
1086 }
1087
wdenk5653fc32004-02-08 22:55:38 +00001088 if (info->protect[sect] == 0) { /* not protected */
Joe Hershberger6822a642012-08-17 15:36:40 -05001089#ifdef CONFIG_SYS_FLASH_CHECK_BLANK_BEFORE_ERASE
1090 int k;
1091 int size;
1092 int erased;
1093 u32 *flash;
1094
1095 /*
1096 * Check if whole sector is erased
1097 */
1098 size = flash_sector_size(info, sect);
1099 erased = 1;
1100 flash = (u32 *)info->start[sect];
1101 /* divide by 4 for longword access */
1102 size = size >> 2;
1103 for (k = 0; k < size; k++) {
1104 if (flash_read32(flash++) != 0xffffffff) {
1105 erased = 0;
1106 break;
1107 }
1108 }
1109 if (erased) {
1110 if (flash_verbose)
1111 putc(',');
1112 continue;
1113 }
1114#endif
wdenkbf9e3b32004-02-12 00:47:09 +00001115 switch (info->vendor) {
Vasiliy Leoenenko9c048b52008-05-07 21:25:33 +04001116 case CFI_CMDSET_INTEL_PROG_REGIONS:
wdenk5653fc32004-02-08 22:55:38 +00001117 case CFI_CMDSET_INTEL_STANDARD:
1118 case CFI_CMDSET_INTEL_EXTENDED:
Mario Six188a5562018-01-26 14:43:31 +01001119 flash_write_cmd(info, sect, 0,
Mario Sixc0350fb2018-01-26 14:43:55 +01001120 FLASH_CMD_CLEAR_STATUS);
Mario Six188a5562018-01-26 14:43:31 +01001121 flash_write_cmd(info, sect, 0,
Mario Sixc0350fb2018-01-26 14:43:55 +01001122 FLASH_CMD_BLOCK_ERASE);
Mario Six188a5562018-01-26 14:43:31 +01001123 flash_write_cmd(info, sect, 0,
Mario Sixc0350fb2018-01-26 14:43:55 +01001124 FLASH_CMD_ERASE_CONFIRM);
wdenk5653fc32004-02-08 22:55:38 +00001125 break;
1126 case CFI_CMDSET_AMD_STANDARD:
1127 case CFI_CMDSET_AMD_EXTENDED:
Mario Six188a5562018-01-26 14:43:31 +01001128 flash_unlock_seq(info, sect);
1129 flash_write_cmd(info, sect,
Haavard Skinnemoen7e5b9b42007-12-13 12:56:28 +01001130 info->addr_unlock1,
1131 AMD_CMD_ERASE_START);
Mario Six188a5562018-01-26 14:43:31 +01001132 flash_unlock_seq(info, sect);
1133 flash_write_cmd(info, sect, 0,
Mario Sixc0350fb2018-01-26 14:43:55 +01001134 info->cmd_erase_sector);
wdenk5653fc32004-02-08 22:55:38 +00001135 break;
Michael Schwingen81b20cc2007-12-07 23:35:02 +01001136#ifdef CONFIG_FLASH_CFI_LEGACY
1137 case CFI_CMDSET_AMD_LEGACY:
Mario Six188a5562018-01-26 14:43:31 +01001138 flash_unlock_seq(info, 0);
1139 flash_write_cmd(info, 0, info->addr_unlock1,
Haavard Skinnemoen7e5b9b42007-12-13 12:56:28 +01001140 AMD_CMD_ERASE_START);
Mario Six188a5562018-01-26 14:43:31 +01001141 flash_unlock_seq(info, 0);
1142 flash_write_cmd(info, sect, 0,
Haavard Skinnemoen7e5b9b42007-12-13 12:56:28 +01001143 AMD_CMD_ERASE_SECTOR);
Michael Schwingen81b20cc2007-12-07 23:35:02 +01001144 break;
1145#endif
wdenk5653fc32004-02-08 22:55:38 +00001146 default:
Mario Six9f720212018-01-26 14:43:44 +01001147 debug("Unknown flash vendor %d\n",
Mario Sixc0350fb2018-01-26 14:43:55 +01001148 info->vendor);
wdenk5653fc32004-02-08 22:55:38 +00001149 break;
1150 }
1151
Thomas Choue5720822010-03-26 08:17:00 +08001152 if (use_flash_status_poll(info)) {
Kim Phillips11dc4012012-10-29 13:34:45 +00001153 cfiword_t cword;
Thomas Choue5720822010-03-26 08:17:00 +08001154 void *dest;
Mario Six7223a8c2018-01-26 14:43:37 +01001155
Ryan Harkin622b9522015-10-23 16:50:51 +01001156 cword.w64 = 0xffffffffffffffffULL;
Thomas Choue5720822010-03-26 08:17:00 +08001157 dest = flash_map(info, sect, 0);
1158 st = flash_status_poll(info, &cword, dest,
Mario Sixddcf0542018-01-26 14:43:54 +01001159 info->erase_blk_tout,
1160 "erase");
Thomas Choue5720822010-03-26 08:17:00 +08001161 flash_unmap(info, sect, 0, dest);
Mario Six12d7fed2018-01-26 14:43:43 +01001162 } else {
Thomas Choue5720822010-03-26 08:17:00 +08001163 st = flash_full_status_check(info, sect,
1164 info->erase_blk_tout,
1165 "erase");
Mario Six12d7fed2018-01-26 14:43:43 +01001166 }
1167
Thomas Choue5720822010-03-26 08:17:00 +08001168 if (st)
wdenk5653fc32004-02-08 22:55:38 +00001169 rcode = 1;
Thomas Choue5720822010-03-26 08:17:00 +08001170 else if (flash_verbose)
Mario Six188a5562018-01-26 14:43:31 +01001171 putc('.');
wdenk5653fc32004-02-08 22:55:38 +00001172 }
1173 }
Piotr Ziecik6ea808e2008-11-17 15:49:32 +01001174
1175 if (flash_verbose)
Mario Six188a5562018-01-26 14:43:31 +01001176 puts(" done\n");
Piotr Ziecik6ea808e2008-11-17 15:49:32 +01001177
wdenk5653fc32004-02-08 22:55:38 +00001178 return rcode;
1179}
1180
Stefan Roese70084df2010-08-13 09:36:36 +02001181#ifdef CONFIG_SYS_FLASH_EMPTY_INFO
1182static int sector_erased(flash_info_t *info, int i)
1183{
1184 int k;
1185 int size;
Stefan Roese4d2ca9d2010-10-25 18:31:39 +02001186 u32 *flash;
Stefan Roese70084df2010-08-13 09:36:36 +02001187
1188 /*
1189 * Check if whole sector is erased
1190 */
1191 size = flash_sector_size(info, i);
Stefan Roese4d2ca9d2010-10-25 18:31:39 +02001192 flash = (u32 *)info->start[i];
Stefan Roese70084df2010-08-13 09:36:36 +02001193 /* divide by 4 for longword access */
1194 size = size >> 2;
1195
1196 for (k = 0; k < size; k++) {
Stefan Roese4d2ca9d2010-10-25 18:31:39 +02001197 if (flash_read32(flash++) != 0xffffffff)
Stefan Roese70084df2010-08-13 09:36:36 +02001198 return 0; /* not erased */
1199 }
1200
1201 return 1; /* erased */
1202}
1203#endif /* CONFIG_SYS_FLASH_EMPTY_INFO */
1204
Mario Sixca2b07a2018-01-26 14:43:32 +01001205void flash_print_info(flash_info_t *info)
wdenk5653fc32004-02-08 22:55:38 +00001206{
1207 int i;
1208
1209 if (info->flash_id != FLASH_MAN_CFI) {
Mario Six188a5562018-01-26 14:43:31 +01001210 puts("missing or unknown FLASH type\n");
wdenk5653fc32004-02-08 22:55:38 +00001211 return;
1212 }
1213
Mario Six188a5562018-01-26 14:43:31 +01001214 printf("%s flash (%d x %d)",
Mario Sixc0350fb2018-01-26 14:43:55 +01001215 info->name,
1216 (info->portwidth << 3), (info->chipwidth << 3));
Mario Six640f4e32018-01-26 14:43:36 +01001217 if (info->size < 1024 * 1024)
Mario Six188a5562018-01-26 14:43:31 +01001218 printf(" Size: %ld kB in %d Sectors\n",
Mario Sixc0350fb2018-01-26 14:43:55 +01001219 info->size >> 10, info->sector_count);
Michael Schwingen81b20cc2007-12-07 23:35:02 +01001220 else
Mario Six188a5562018-01-26 14:43:31 +01001221 printf(" Size: %ld MB in %d Sectors\n",
Mario Sixc0350fb2018-01-26 14:43:55 +01001222 info->size >> 20, info->sector_count);
Mario Six188a5562018-01-26 14:43:31 +01001223 printf(" ");
Stefan Roese260421a2006-11-13 13:55:24 +01001224 switch (info->vendor) {
Mario Sixdde09132018-01-26 14:43:35 +01001225 case CFI_CMDSET_INTEL_PROG_REGIONS:
1226 printf("Intel Prog Regions");
1227 break;
1228 case CFI_CMDSET_INTEL_STANDARD:
1229 printf("Intel Standard");
1230 break;
1231 case CFI_CMDSET_INTEL_EXTENDED:
1232 printf("Intel Extended");
1233 break;
1234 case CFI_CMDSET_AMD_STANDARD:
1235 printf("AMD Standard");
1236 break;
1237 case CFI_CMDSET_AMD_EXTENDED:
1238 printf("AMD Extended");
1239 break;
Michael Schwingen81b20cc2007-12-07 23:35:02 +01001240#ifdef CONFIG_FLASH_CFI_LEGACY
Mario Sixdde09132018-01-26 14:43:35 +01001241 case CFI_CMDSET_AMD_LEGACY:
1242 printf("AMD Legacy");
1243 break;
Michael Schwingen81b20cc2007-12-07 23:35:02 +01001244#endif
Mario Sixdde09132018-01-26 14:43:35 +01001245 default:
1246 printf("Unknown (%d)", info->vendor);
1247 break;
Stefan Roese260421a2006-11-13 13:55:24 +01001248 }
Mario Six188a5562018-01-26 14:43:31 +01001249 printf(" command set, Manufacturer ID: 0x%02X, Device ID: 0x",
Mario Sixc0350fb2018-01-26 14:43:55 +01001250 info->manufacturer_id);
Mario Six188a5562018-01-26 14:43:31 +01001251 printf(info->chipwidth == FLASH_CFI_16BIT ? "%04X" : "%02X",
Mario Sixc0350fb2018-01-26 14:43:55 +01001252 info->device_id);
Heiko Schocher5b448ad2011-04-11 14:16:19 +02001253 if ((info->device_id & 0xff) == 0x7E) {
1254 printf(info->chipwidth == FLASH_CFI_16BIT ? "%04X" : "%02X",
Mario Sixc0350fb2018-01-26 14:43:55 +01001255 info->device_id2);
Stefan Roese260421a2006-11-13 13:55:24 +01001256 }
Mario Six4f89da42018-01-26 14:43:42 +01001257 if (info->vendor == CFI_CMDSET_AMD_STANDARD && info->legacy_unlock)
Stefan Roesed2af0282012-12-06 15:44:12 +01001258 printf("\n Advanced Sector Protection (PPB) enabled");
Mario Six188a5562018-01-26 14:43:31 +01001259 printf("\n Erase timeout: %ld ms, write timeout: %ld ms\n",
Mario Sixc0350fb2018-01-26 14:43:55 +01001260 info->erase_blk_tout, info->write_tout);
Stefan Roese260421a2006-11-13 13:55:24 +01001261 if (info->buffer_size > 1) {
Mario Six876c52f2018-01-26 14:43:50 +01001262 printf(" Buffer write timeout: %ld ms, ",
Mario Sixc0350fb2018-01-26 14:43:55 +01001263 info->buffer_write_tout);
Mario Six876c52f2018-01-26 14:43:50 +01001264 printf("buffer size: %d bytes\n", info->buffer_size);
Stefan Roese260421a2006-11-13 13:55:24 +01001265 }
wdenk5653fc32004-02-08 22:55:38 +00001266
Mario Six188a5562018-01-26 14:43:31 +01001267 puts("\n Sector Start Addresses:");
wdenkbf9e3b32004-02-12 00:47:09 +00001268 for (i = 0; i < info->sector_count; ++i) {
Kim Phillips2e973942010-07-26 18:35:39 -05001269 if (ctrlc())
Stefan Roese70084df2010-08-13 09:36:36 +02001270 break;
Stefan Roese260421a2006-11-13 13:55:24 +01001271 if ((i % 5) == 0)
Stefan Roese70084df2010-08-13 09:36:36 +02001272 putc('\n');
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +02001273#ifdef CONFIG_SYS_FLASH_EMPTY_INFO
wdenk5653fc32004-02-08 22:55:38 +00001274 /* print empty and read-only info */
Mario Six188a5562018-01-26 14:43:31 +01001275 printf(" %08lX %c %s ",
Mario Sixc0350fb2018-01-26 14:43:55 +01001276 info->start[i],
1277 sector_erased(info, i) ? 'E' : ' ',
1278 info->protect[i] ? "RO" : " ");
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +02001279#else /* ! CONFIG_SYS_FLASH_EMPTY_INFO */
Mario Six188a5562018-01-26 14:43:31 +01001280 printf(" %08lX %s ",
Mario Sixc0350fb2018-01-26 14:43:55 +01001281 info->start[i],
1282 info->protect[i] ? "RO" : " ");
wdenk5653fc32004-02-08 22:55:38 +00001283#endif
1284 }
Mario Six188a5562018-01-26 14:43:31 +01001285 putc('\n');
wdenk5653fc32004-02-08 22:55:38 +00001286}
1287
1288/*-----------------------------------------------------------------------
Jerry Van Baren9a042e92008-03-08 13:48:01 -05001289 * This is used in a few places in write_buf() to show programming
1290 * progress. Making it a function is nasty because it needs to do side
1291 * effect updates to digit and dots. Repeated code is nasty too, so
1292 * we define it once here.
1293 */
Stefan Roesef0105722008-03-19 07:09:26 +01001294#ifdef CONFIG_FLASH_SHOW_PROGRESS
1295#define FLASH_SHOW_PROGRESS(scale, dots, digit, dots_sub) \
Piotr Ziecik6ea808e2008-11-17 15:49:32 +01001296 if (flash_verbose) { \
1297 dots -= dots_sub; \
Mario Six4f89da42018-01-26 14:43:42 +01001298 if (scale > 0 && dots <= 0) { \
Piotr Ziecik6ea808e2008-11-17 15:49:32 +01001299 if ((digit % 5) == 0) \
Mario Six188a5562018-01-26 14:43:31 +01001300 printf("%d", digit / 5); \
Piotr Ziecik6ea808e2008-11-17 15:49:32 +01001301 else \
Mario Six188a5562018-01-26 14:43:31 +01001302 putc('.'); \
Piotr Ziecik6ea808e2008-11-17 15:49:32 +01001303 digit--; \
1304 dots += scale; \
1305 } \
Jerry Van Baren9a042e92008-03-08 13:48:01 -05001306 }
Stefan Roesef0105722008-03-19 07:09:26 +01001307#else
1308#define FLASH_SHOW_PROGRESS(scale, dots, digit, dots_sub)
1309#endif
Jerry Van Baren9a042e92008-03-08 13:48:01 -05001310
1311/*-----------------------------------------------------------------------
wdenk5653fc32004-02-08 22:55:38 +00001312 * Copy memory to flash, returns:
1313 * 0 - OK
1314 * 1 - write timeout
1315 * 2 - Flash not erased
1316 */
Mario Sixca2b07a2018-01-26 14:43:32 +01001317int write_buff(flash_info_t *info, uchar *src, ulong addr, ulong cnt)
wdenk5653fc32004-02-08 22:55:38 +00001318{
1319 ulong wp;
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +01001320 uchar *p;
wdenk5653fc32004-02-08 22:55:38 +00001321 int aln;
1322 cfiword_t cword;
1323 int i, rc;
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +02001324#ifdef CONFIG_SYS_FLASH_USE_BUFFER_WRITE
wdenkbf9e3b32004-02-12 00:47:09 +00001325 int buffered_size;
1326#endif
Jerry Van Baren9a042e92008-03-08 13:48:01 -05001327#ifdef CONFIG_FLASH_SHOW_PROGRESS
1328 int digit = CONFIG_FLASH_SHOW_PROGRESS;
1329 int scale = 0;
1330 int dots = 0;
1331
1332 /*
1333 * Suppress if there are fewer than CONFIG_FLASH_SHOW_PROGRESS writes.
1334 */
1335 if (cnt >= CONFIG_FLASH_SHOW_PROGRESS) {
1336 scale = (int)((cnt + CONFIG_FLASH_SHOW_PROGRESS - 1) /
1337 CONFIG_FLASH_SHOW_PROGRESS);
1338 }
1339#endif
1340
wdenkbf9e3b32004-02-12 00:47:09 +00001341 /* get lower aligned address */
wdenk5653fc32004-02-08 22:55:38 +00001342 wp = (addr & ~(info->portwidth - 1));
1343
1344 /* handle unaligned start */
Mario Sixd3525b62018-01-26 14:43:48 +01001345 aln = addr - wp;
1346 if (aln != 0) {
Ryan Harkin622b9522015-10-23 16:50:51 +01001347 cword.w32 = 0;
Becky Bruce09ce9922009-02-02 16:34:51 -06001348 p = (uchar *)wp;
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +01001349 for (i = 0; i < aln; ++i)
Mario Six188a5562018-01-26 14:43:31 +01001350 flash_add_byte(info, &cword, flash_read8(p + i));
wdenk5653fc32004-02-08 22:55:38 +00001351
wdenkbf9e3b32004-02-12 00:47:09 +00001352 for (; (i < info->portwidth) && (cnt > 0); i++) {
Mario Six188a5562018-01-26 14:43:31 +01001353 flash_add_byte(info, &cword, *src++);
wdenk5653fc32004-02-08 22:55:38 +00001354 cnt--;
wdenk5653fc32004-02-08 22:55:38 +00001355 }
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +01001356 for (; (cnt == 0) && (i < info->portwidth); ++i)
Mario Six188a5562018-01-26 14:43:31 +01001357 flash_add_byte(info, &cword, flash_read8(p + i));
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +01001358
Mario Six188a5562018-01-26 14:43:31 +01001359 rc = flash_write_cfiword(info, wp, cword);
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +01001360 if (rc != 0)
wdenk5653fc32004-02-08 22:55:38 +00001361 return rc;
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +01001362
1363 wp += i;
Stefan Roesef0105722008-03-19 07:09:26 +01001364 FLASH_SHOW_PROGRESS(scale, dots, digit, i);
wdenk5653fc32004-02-08 22:55:38 +00001365 }
1366
wdenkbf9e3b32004-02-12 00:47:09 +00001367 /* handle the aligned part */
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +02001368#ifdef CONFIG_SYS_FLASH_USE_BUFFER_WRITE
wdenkbf9e3b32004-02-12 00:47:09 +00001369 buffered_size = (info->portwidth / info->chipwidth);
1370 buffered_size *= info->buffer_size;
1371 while (cnt >= info->portwidth) {
Stefan Roese79b4cda2006-02-28 15:29:58 +01001372 /* prohibit buffer write when buffer_size is 1 */
1373 if (info->buffer_size == 1) {
Ryan Harkin622b9522015-10-23 16:50:51 +01001374 cword.w32 = 0;
Stefan Roese79b4cda2006-02-28 15:29:58 +01001375 for (i = 0; i < info->portwidth; i++)
Mario Six188a5562018-01-26 14:43:31 +01001376 flash_add_byte(info, &cword, *src++);
Mario Sixd3525b62018-01-26 14:43:48 +01001377 rc = flash_write_cfiword(info, wp, cword);
1378 if (rc != 0)
Stefan Roese79b4cda2006-02-28 15:29:58 +01001379 return rc;
1380 wp += info->portwidth;
1381 cnt -= info->portwidth;
1382 continue;
1383 }
1384
1385 /* write buffer until next buffered_size aligned boundary */
1386 i = buffered_size - (wp % buffered_size);
1387 if (i > cnt)
1388 i = cnt;
Mario Sixd3525b62018-01-26 14:43:48 +01001389 rc = flash_write_cfibuffer(info, wp, src, i);
1390 if (rc != ERR_OK)
wdenk5653fc32004-02-08 22:55:38 +00001391 return rc;
Wolfgang Denk8d4ba3d2005-08-12 22:35:59 +02001392 i -= i & (info->portwidth - 1);
wdenk5653fc32004-02-08 22:55:38 +00001393 wp += i;
1394 src += i;
wdenkbf9e3b32004-02-12 00:47:09 +00001395 cnt -= i;
Stefan Roesef0105722008-03-19 07:09:26 +01001396 FLASH_SHOW_PROGRESS(scale, dots, digit, i);
Joe Hershbergerde15a062012-08-17 15:36:41 -05001397 /* Only check every once in a while */
1398 if ((cnt & 0xFFFF) < buffered_size && ctrlc())
1399 return ERR_ABORTED;
wdenk5653fc32004-02-08 22:55:38 +00001400 }
1401#else
wdenkbf9e3b32004-02-12 00:47:09 +00001402 while (cnt >= info->portwidth) {
Ryan Harkin622b9522015-10-23 16:50:51 +01001403 cword.w32 = 0;
Mario Six0412e902018-01-26 14:43:38 +01001404 for (i = 0; i < info->portwidth; i++)
Mario Six188a5562018-01-26 14:43:31 +01001405 flash_add_byte(info, &cword, *src++);
Mario Sixd3525b62018-01-26 14:43:48 +01001406 rc = flash_write_cfiword(info, wp, cword);
1407 if (rc != 0)
wdenk5653fc32004-02-08 22:55:38 +00001408 return rc;
1409 wp += info->portwidth;
1410 cnt -= info->portwidth;
Stefan Roesef0105722008-03-19 07:09:26 +01001411 FLASH_SHOW_PROGRESS(scale, dots, digit, info->portwidth);
Joe Hershbergerde15a062012-08-17 15:36:41 -05001412 /* Only check every once in a while */
1413 if ((cnt & 0xFFFF) < info->portwidth && ctrlc())
1414 return ERR_ABORTED;
wdenk5653fc32004-02-08 22:55:38 +00001415 }
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +02001416#endif /* CONFIG_SYS_FLASH_USE_BUFFER_WRITE */
Jerry Van Baren9a042e92008-03-08 13:48:01 -05001417
Mario Six0412e902018-01-26 14:43:38 +01001418 if (cnt == 0)
wdenk5653fc32004-02-08 22:55:38 +00001419 return (0);
wdenk5653fc32004-02-08 22:55:38 +00001420
1421 /*
1422 * handle unaligned tail bytes
1423 */
Ryan Harkin622b9522015-10-23 16:50:51 +01001424 cword.w32 = 0;
Becky Bruce09ce9922009-02-02 16:34:51 -06001425 p = (uchar *)wp;
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +01001426 for (i = 0; (i < info->portwidth) && (cnt > 0); ++i) {
Mario Six188a5562018-01-26 14:43:31 +01001427 flash_add_byte(info, &cword, *src++);
wdenk5653fc32004-02-08 22:55:38 +00001428 --cnt;
1429 }
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +01001430 for (; i < info->portwidth; ++i)
Mario Six188a5562018-01-26 14:43:31 +01001431 flash_add_byte(info, &cword, flash_read8(p + i));
wdenk5653fc32004-02-08 22:55:38 +00001432
Mario Six188a5562018-01-26 14:43:31 +01001433 return flash_write_cfiword(info, wp, cword);
wdenk5653fc32004-02-08 22:55:38 +00001434}
1435
Stefan Roese20043a42012-12-06 15:44:09 +01001436static inline int manufact_match(flash_info_t *info, u32 manu)
1437{
1438 return info->manufacturer_id == ((manu & FLASH_VENDMASK) >> 16);
1439}
1440
wdenk5653fc32004-02-08 22:55:38 +00001441/*-----------------------------------------------------------------------
1442 */
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +02001443#ifdef CONFIG_SYS_FLASH_PROTECTION
wdenk5653fc32004-02-08 22:55:38 +00001444
Holger Brunck81316a92012-08-09 10:22:41 +02001445static int cfi_protect_bugfix(flash_info_t *info, long sector, int prot)
1446{
Mario Six88ecd8b2018-01-26 14:43:39 +01001447 if (manufact_match(info, INTEL_MANUFACT) &&
Mario Sixc0350fb2018-01-26 14:43:55 +01001448 info->device_id == NUMONYX_256MBIT) {
Holger Brunck81316a92012-08-09 10:22:41 +02001449 /*
1450 * see errata called
1451 * "Numonyx Axcell P33/P30 Specification Update" :)
1452 */
1453 flash_write_cmd(info, sector, 0, FLASH_CMD_READ_ID);
1454 if (!flash_isequal(info, sector, FLASH_OFFSET_PROTECT,
1455 prot)) {
1456 /*
1457 * cmd must come before FLASH_CMD_PROTECT + 20us
1458 * Disable interrupts which might cause a timeout here.
1459 */
1460 int flag = disable_interrupts();
1461 unsigned short cmd;
1462
1463 if (prot)
1464 cmd = FLASH_CMD_PROTECT_SET;
1465 else
1466 cmd = FLASH_CMD_PROTECT_CLEAR;
Andre Przywara58eab322016-11-16 00:50:06 +00001467
1468 flash_write_cmd(info, sector, 0, FLASH_CMD_PROTECT);
Holger Brunck81316a92012-08-09 10:22:41 +02001469 flash_write_cmd(info, sector, 0, cmd);
1470 /* re-enable interrupts if necessary */
1471 if (flag)
1472 enable_interrupts();
1473 }
1474 return 1;
1475 }
1476 return 0;
1477}
1478
Mario Sixca2b07a2018-01-26 14:43:32 +01001479int flash_real_protect(flash_info_t *info, long sector, int prot)
wdenk5653fc32004-02-08 22:55:38 +00001480{
1481 int retcode = 0;
1482
Rafael Camposbc9019e2008-07-31 10:22:20 +02001483 switch (info->vendor) {
Mario Sixdde09132018-01-26 14:43:35 +01001484 case CFI_CMDSET_INTEL_PROG_REGIONS:
1485 case CFI_CMDSET_INTEL_STANDARD:
1486 case CFI_CMDSET_INTEL_EXTENDED:
1487 if (!cfi_protect_bugfix(info, sector, prot)) {
1488 flash_write_cmd(info, sector, 0,
Mario Sixc0350fb2018-01-26 14:43:55 +01001489 FLASH_CMD_CLEAR_STATUS);
Mario Sixdde09132018-01-26 14:43:35 +01001490 flash_write_cmd(info, sector, 0,
Mario Sixc0350fb2018-01-26 14:43:55 +01001491 FLASH_CMD_PROTECT);
TsiChung Liew4e00acd2008-08-19 16:53:39 +00001492 if (prot)
Mario Sixdde09132018-01-26 14:43:35 +01001493 flash_write_cmd(info, sector, 0,
Mario Sixc0350fb2018-01-26 14:43:55 +01001494 FLASH_CMD_PROTECT_SET);
TsiChung Liew4e00acd2008-08-19 16:53:39 +00001495 else
Mario Sixdde09132018-01-26 14:43:35 +01001496 flash_write_cmd(info, sector, 0,
Mario Sixc0350fb2018-01-26 14:43:55 +01001497 FLASH_CMD_PROTECT_CLEAR);
Mario Sixdde09132018-01-26 14:43:35 +01001498 }
1499 break;
1500 case CFI_CMDSET_AMD_EXTENDED:
1501 case CFI_CMDSET_AMD_STANDARD:
1502 /* U-Boot only checks the first byte */
1503 if (manufact_match(info, ATM_MANUFACT)) {
1504 if (prot) {
1505 flash_unlock_seq(info, 0);
1506 flash_write_cmd(info, 0,
1507 info->addr_unlock1,
1508 ATM_CMD_SOFTLOCK_START);
1509 flash_unlock_seq(info, 0);
1510 flash_write_cmd(info, sector, 0,
1511 ATM_CMD_LOCK_SECT);
1512 } else {
1513 flash_write_cmd(info, 0,
1514 info->addr_unlock1,
1515 AMD_CMD_UNLOCK_START);
1516 if (info->device_id == ATM_ID_BV6416)
1517 flash_write_cmd(info, sector,
Mario Sixc0350fb2018-01-26 14:43:55 +01001518 0, ATM_CMD_UNLOCK_SECT);
Mario Sixdde09132018-01-26 14:43:35 +01001519 }
1520 }
1521 if (info->legacy_unlock) {
1522 int flag = disable_interrupts();
1523 int lock_flag;
1524
1525 flash_unlock_seq(info, 0);
1526 flash_write_cmd(info, 0, info->addr_unlock1,
1527 AMD_CMD_SET_PPB_ENTRY);
1528 lock_flag = flash_isset(info, sector, 0, 0x01);
1529 if (prot) {
1530 if (lock_flag) {
1531 flash_write_cmd(info, sector, 0,
Mario Sixc0350fb2018-01-26 14:43:55 +01001532 AMD_CMD_PPB_LOCK_BC1);
Mario Sixdde09132018-01-26 14:43:35 +01001533 flash_write_cmd(info, sector, 0,
Mario Sixc0350fb2018-01-26 14:43:55 +01001534 AMD_CMD_PPB_LOCK_BC2);
Mario Sixdde09132018-01-26 14:43:35 +01001535 }
1536 debug("sector %ld %slocked\n", sector,
Mario Sixc0350fb2018-01-26 14:43:55 +01001537 lock_flag ? "" : "already ");
Mario Sixdde09132018-01-26 14:43:35 +01001538 } else {
1539 if (!lock_flag) {
1540 debug("unlock %ld\n", sector);
1541 flash_write_cmd(info, 0, 0,
Mario Sixc0350fb2018-01-26 14:43:55 +01001542 AMD_CMD_PPB_UNLOCK_BC1);
Mario Sixdde09132018-01-26 14:43:35 +01001543 flash_write_cmd(info, 0, 0,
Mario Sixc0350fb2018-01-26 14:43:55 +01001544 AMD_CMD_PPB_UNLOCK_BC2);
Mario Sixdde09132018-01-26 14:43:35 +01001545 }
1546 debug("sector %ld %sunlocked\n", sector,
Mario Sixc0350fb2018-01-26 14:43:55 +01001547 !lock_flag ? "" : "already ");
Mario Sixdde09132018-01-26 14:43:35 +01001548 }
1549 if (flag)
1550 enable_interrupts();
1551
1552 if (flash_status_check(info, sector,
Mario Sixc0350fb2018-01-26 14:43:55 +01001553 info->erase_blk_tout,
1554 prot ? "protect" : "unprotect"))
Mario Sixdde09132018-01-26 14:43:35 +01001555 printf("status check error\n");
1556
1557 flash_write_cmd(info, 0, 0,
1558 AMD_CMD_SET_PPB_EXIT_BC1);
1559 flash_write_cmd(info, 0, 0,
1560 AMD_CMD_SET_PPB_EXIT_BC2);
1561 }
1562 break;
1563#ifdef CONFIG_FLASH_CFI_LEGACY
1564 case CFI_CMDSET_AMD_LEGACY:
1565 flash_write_cmd(info, sector, 0, FLASH_CMD_CLEAR_STATUS);
1566 flash_write_cmd(info, sector, 0, FLASH_CMD_PROTECT);
1567 if (prot)
Mario Sixddcf0542018-01-26 14:43:54 +01001568 flash_write_cmd(info, sector, 0,
1569 FLASH_CMD_PROTECT_SET);
Mario Sixdde09132018-01-26 14:43:35 +01001570 else
Mario Sixddcf0542018-01-26 14:43:54 +01001571 flash_write_cmd(info, sector, 0,
1572 FLASH_CMD_PROTECT_CLEAR);
TsiChung Liew4e00acd2008-08-19 16:53:39 +00001573#endif
Rafael Camposbc9019e2008-07-31 10:22:20 +02001574 };
wdenk5653fc32004-02-08 22:55:38 +00001575
Stefan Roesedf4e8132010-10-25 18:31:29 +02001576 /*
1577 * Flash needs to be in status register read mode for
1578 * flash_full_status_check() to work correctly
1579 */
1580 flash_write_cmd(info, sector, 0, FLASH_CMD_READ_STATUS);
Mario Sixd3525b62018-01-26 14:43:48 +01001581 retcode = flash_full_status_check(info, sector, info->erase_blk_tout,
Mario Sixc0350fb2018-01-26 14:43:55 +01001582 prot ? "protect" : "unprotect");
Mario Sixd3525b62018-01-26 14:43:48 +01001583 if (retcode == 0) {
wdenk5653fc32004-02-08 22:55:38 +00001584 info->protect[sector] = prot;
Stefan Roese2662b402006-04-01 13:41:03 +02001585
1586 /*
1587 * On some of Intel's flash chips (marked via legacy_unlock)
1588 * unprotect unprotects all locking.
1589 */
Mario Six4f89da42018-01-26 14:43:42 +01001590 if (prot == 0 && info->legacy_unlock) {
wdenk5653fc32004-02-08 22:55:38 +00001591 flash_sect_t i;
wdenkbf9e3b32004-02-12 00:47:09 +00001592
1593 for (i = 0; i < info->sector_count; i++) {
1594 if (info->protect[i])
Mario Six188a5562018-01-26 14:43:31 +01001595 flash_real_protect(info, i, 1);
wdenk5653fc32004-02-08 22:55:38 +00001596 }
1597 }
1598 }
wdenk5653fc32004-02-08 22:55:38 +00001599 return retcode;
wdenkbf9e3b32004-02-12 00:47:09 +00001600}
1601
wdenk5653fc32004-02-08 22:55:38 +00001602/*-----------------------------------------------------------------------
1603 * flash_read_user_serial - read the OneTimeProgramming cells
1604 */
Mario Sixca2b07a2018-01-26 14:43:32 +01001605void flash_read_user_serial(flash_info_t *info, void *buffer, int offset,
Mario Sixc0350fb2018-01-26 14:43:55 +01001606 int len)
wdenk5653fc32004-02-08 22:55:38 +00001607{
wdenkbf9e3b32004-02-12 00:47:09 +00001608 uchar *src;
1609 uchar *dst;
wdenk5653fc32004-02-08 22:55:38 +00001610
1611 dst = buffer;
Mario Six188a5562018-01-26 14:43:31 +01001612 src = flash_map(info, 0, FLASH_OFFSET_USER_PROTECTION);
1613 flash_write_cmd(info, 0, 0, FLASH_CMD_READ_ID);
1614 memcpy(dst, src + offset, len);
1615 flash_write_cmd(info, 0, 0, info->cmd_reset);
Aaron Williamsa90b9572011-04-12 00:59:04 -07001616 udelay(1);
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +01001617 flash_unmap(info, 0, FLASH_OFFSET_USER_PROTECTION, src);
wdenk5653fc32004-02-08 22:55:38 +00001618}
wdenkbf9e3b32004-02-12 00:47:09 +00001619
wdenk5653fc32004-02-08 22:55:38 +00001620/*
1621 * flash_read_factory_serial - read the device Id from the protection area
1622 */
Mario Sixca2b07a2018-01-26 14:43:32 +01001623void flash_read_factory_serial(flash_info_t *info, void *buffer, int offset,
Mario Sixc0350fb2018-01-26 14:43:55 +01001624 int len)
wdenk5653fc32004-02-08 22:55:38 +00001625{
wdenkbf9e3b32004-02-12 00:47:09 +00001626 uchar *src;
wdenkcd37d9e2004-02-10 00:03:41 +00001627
Mario Six188a5562018-01-26 14:43:31 +01001628 src = flash_map(info, 0, FLASH_OFFSET_INTEL_PROTECTION);
1629 flash_write_cmd(info, 0, 0, FLASH_CMD_READ_ID);
1630 memcpy(buffer, src + offset, len);
1631 flash_write_cmd(info, 0, 0, info->cmd_reset);
Aaron Williamsa90b9572011-04-12 00:59:04 -07001632 udelay(1);
Haavard Skinnemoen12d30aa2007-12-13 12:56:34 +01001633 flash_unmap(info, 0, FLASH_OFFSET_INTEL_PROTECTION, src);
wdenk5653fc32004-02-08 22:55:38 +00001634}
1635
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +02001636#endif /* CONFIG_SYS_FLASH_PROTECTION */
wdenk5653fc32004-02-08 22:55:38 +00001637
Haavard Skinnemoen0ddf06d2007-12-14 15:36:17 +01001638/*-----------------------------------------------------------------------
1639 * Reverse the order of the erase regions in the CFI QRY structure.
1640 * This is needed for chips that are either a) correctly detected as
1641 * top-boot, or b) buggy.
1642 */
1643static void cfi_reverse_geometry(struct cfi_qry *qry)
1644{
1645 unsigned int i, j;
1646 u32 tmp;
1647
1648 for (i = 0, j = qry->num_erase_regions - 1; i < j; i++, j--) {
Mario Six4f89da42018-01-26 14:43:42 +01001649 tmp = get_unaligned(&qry->erase_region_info[i]);
1650 put_unaligned(get_unaligned(&qry->erase_region_info[j]),
1651 &qry->erase_region_info[i]);
1652 put_unaligned(tmp, &qry->erase_region_info[j]);
Haavard Skinnemoen0ddf06d2007-12-14 15:36:17 +01001653 }
1654}
wdenk5653fc32004-02-08 22:55:38 +00001655
1656/*-----------------------------------------------------------------------
Stefan Roese260421a2006-11-13 13:55:24 +01001657 * read jedec ids from device and set corresponding fields in info struct
1658 *
1659 * Note: assume cfi->vendor, cfi->portwidth and cfi->chipwidth are correct
1660 *
Haavard Skinnemoen0ddf06d2007-12-14 15:36:17 +01001661 */
1662static void cmdset_intel_read_jedec_ids(flash_info_t *info)
1663{
1664 flash_write_cmd(info, 0, 0, FLASH_CMD_RESET);
Aaron Williamsa90b9572011-04-12 00:59:04 -07001665 udelay(1);
Haavard Skinnemoen0ddf06d2007-12-14 15:36:17 +01001666 flash_write_cmd(info, 0, 0, FLASH_CMD_READ_ID);
1667 udelay(1000); /* some flash are slow to respond */
Mario Six188a5562018-01-26 14:43:31 +01001668 info->manufacturer_id = flash_read_uchar(info,
Mario Sixc0350fb2018-01-26 14:43:55 +01001669 FLASH_OFFSET_MANUFACTURER_ID);
Philippe De Muyterd77c7ac2010-08-10 16:54:52 +02001670 info->device_id = (info->chipwidth == FLASH_CFI_16BIT) ?
Mario Six188a5562018-01-26 14:43:31 +01001671 flash_read_word(info, FLASH_OFFSET_DEVICE_ID) :
1672 flash_read_uchar(info, FLASH_OFFSET_DEVICE_ID);
Haavard Skinnemoen0ddf06d2007-12-14 15:36:17 +01001673 flash_write_cmd(info, 0, 0, FLASH_CMD_RESET);
1674}
1675
1676static int cmdset_intel_init(flash_info_t *info, struct cfi_qry *qry)
1677{
1678 info->cmd_reset = FLASH_CMD_RESET;
1679
1680 cmdset_intel_read_jedec_ids(info);
1681 flash_write_cmd(info, 0, info->cfi_offset, FLASH_CMD_CFI);
1682
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +02001683#ifdef CONFIG_SYS_FLASH_PROTECTION
Haavard Skinnemoen0ddf06d2007-12-14 15:36:17 +01001684 /* read legacy lock/unlock bit from intel flash */
1685 if (info->ext_addr) {
Mario Sixc0350fb2018-01-26 14:43:55 +01001686 info->legacy_unlock =
1687 flash_read_uchar(info, info->ext_addr + 5) & 0x08;
Haavard Skinnemoen0ddf06d2007-12-14 15:36:17 +01001688 }
1689#endif
1690
1691 return 0;
1692}
1693
1694static void cmdset_amd_read_jedec_ids(flash_info_t *info)
1695{
Mario Sixc8a9a822018-01-26 14:43:51 +01001696 ushort bank_id = 0;
1697 uchar manu_id;
York Sun2544f472017-11-18 11:09:08 -08001698 uchar feature;
Niklaus Giger3a7b2c22009-07-22 17:13:24 +02001699
Haavard Skinnemoen0ddf06d2007-12-14 15:36:17 +01001700 flash_write_cmd(info, 0, 0, AMD_CMD_RESET);
1701 flash_unlock_seq(info, 0);
1702 flash_write_cmd(info, 0, info->addr_unlock1, FLASH_CMD_READ_ID);
1703 udelay(1000); /* some flash are slow to respond */
Tor Krill90447ec2008-03-28 11:29:10 +01001704
Mario Sixc8a9a822018-01-26 14:43:51 +01001705 manu_id = flash_read_uchar(info, FLASH_OFFSET_MANUFACTURER_ID);
Niklaus Giger3a7b2c22009-07-22 17:13:24 +02001706 /* JEDEC JEP106Z specifies ID codes up to bank 7 */
Mario Sixc8a9a822018-01-26 14:43:51 +01001707 while (manu_id == FLASH_CONTINUATION_CODE && bank_id < 0x800) {
1708 bank_id += 0x100;
1709 manu_id = flash_read_uchar(info,
Mario Sixc0350fb2018-01-26 14:43:55 +01001710 bank_id | FLASH_OFFSET_MANUFACTURER_ID);
Niklaus Giger3a7b2c22009-07-22 17:13:24 +02001711 }
Mario Sixc8a9a822018-01-26 14:43:51 +01001712 info->manufacturer_id = manu_id;
Tor Krill90447ec2008-03-28 11:29:10 +01001713
York Sun2544f472017-11-18 11:09:08 -08001714 debug("info->ext_addr = 0x%x, cfi_version = 0x%x\n",
1715 info->ext_addr, info->cfi_version);
1716 if (info->ext_addr && info->cfi_version >= 0x3134) {
1717 /* read software feature (at 0x53) */
1718 feature = flash_read_uchar(info, info->ext_addr + 0x13);
1719 debug("feature = 0x%x\n", feature);
1720 info->sr_supported = feature & 0x1;
1721 }
Marek Vasut72443c72017-09-12 19:09:31 +02001722
Mario Sixb1683862018-01-26 14:43:33 +01001723 switch (info->chipwidth) {
Tor Krill90447ec2008-03-28 11:29:10 +01001724 case FLASH_CFI_8BIT:
Mario Six188a5562018-01-26 14:43:31 +01001725 info->device_id = flash_read_uchar(info,
Mario Sixc0350fb2018-01-26 14:43:55 +01001726 FLASH_OFFSET_DEVICE_ID);
Tor Krill90447ec2008-03-28 11:29:10 +01001727 if (info->device_id == 0x7E) {
1728 /* AMD 3-byte (expanded) device ids */
Mario Six188a5562018-01-26 14:43:31 +01001729 info->device_id2 = flash_read_uchar(info,
Mario Sixc0350fb2018-01-26 14:43:55 +01001730 FLASH_OFFSET_DEVICE_ID2);
Tor Krill90447ec2008-03-28 11:29:10 +01001731 info->device_id2 <<= 8;
Mario Six188a5562018-01-26 14:43:31 +01001732 info->device_id2 |= flash_read_uchar(info,
Tor Krill90447ec2008-03-28 11:29:10 +01001733 FLASH_OFFSET_DEVICE_ID3);
1734 }
1735 break;
1736 case FLASH_CFI_16BIT:
Mario Six188a5562018-01-26 14:43:31 +01001737 info->device_id = flash_read_word(info,
Mario Sixc0350fb2018-01-26 14:43:55 +01001738 FLASH_OFFSET_DEVICE_ID);
Heiko Schocher5b448ad2011-04-11 14:16:19 +02001739 if ((info->device_id & 0xff) == 0x7E) {
1740 /* AMD 3-byte (expanded) device ids */
Mario Six188a5562018-01-26 14:43:31 +01001741 info->device_id2 = flash_read_uchar(info,
Mario Sixc0350fb2018-01-26 14:43:55 +01001742 FLASH_OFFSET_DEVICE_ID2);
Heiko Schocher5b448ad2011-04-11 14:16:19 +02001743 info->device_id2 <<= 8;
Mario Six188a5562018-01-26 14:43:31 +01001744 info->device_id2 |= flash_read_uchar(info,
Heiko Schocher5b448ad2011-04-11 14:16:19 +02001745 FLASH_OFFSET_DEVICE_ID3);
1746 }
Tor Krill90447ec2008-03-28 11:29:10 +01001747 break;
1748 default:
1749 break;
Haavard Skinnemoen0ddf06d2007-12-14 15:36:17 +01001750 }
1751 flash_write_cmd(info, 0, 0, AMD_CMD_RESET);
Aaron Williamsa90b9572011-04-12 00:59:04 -07001752 udelay(1);
Haavard Skinnemoen0ddf06d2007-12-14 15:36:17 +01001753}
1754
1755static int cmdset_amd_init(flash_info_t *info, struct cfi_qry *qry)
1756{
1757 info->cmd_reset = AMD_CMD_RESET;
Angelo Dureghello07b2c5c2012-12-01 01:14:18 +01001758 info->cmd_erase_sector = AMD_CMD_ERASE_SECTOR;
Haavard Skinnemoen0ddf06d2007-12-14 15:36:17 +01001759
1760 cmdset_amd_read_jedec_ids(info);
1761 flash_write_cmd(info, 0, info->cfi_offset, FLASH_CMD_CFI);
1762
Anatolij Gustschin66863b02012-08-09 08:18:12 +02001763#ifdef CONFIG_SYS_FLASH_PROTECTION
Stefan Roeseac6b9112012-12-06 15:44:11 +01001764 if (info->ext_addr) {
1765 /* read sector protect/unprotect scheme (at 0x49) */
1766 if (flash_read_uchar(info, info->ext_addr + 9) == 0x8)
Anatolij Gustschin66863b02012-08-09 08:18:12 +02001767 info->legacy_unlock = 1;
1768 }
1769#endif
1770
Haavard Skinnemoen0ddf06d2007-12-14 15:36:17 +01001771 return 0;
1772}
1773
1774#ifdef CONFIG_FLASH_CFI_LEGACY
Mario Sixca2b07a2018-01-26 14:43:32 +01001775static void flash_read_jedec_ids(flash_info_t *info)
Stefan Roese260421a2006-11-13 13:55:24 +01001776{
1777 info->manufacturer_id = 0;
1778 info->device_id = 0;
1779 info->device_id2 = 0;
1780
1781 switch (info->vendor) {
Vasiliy Leoenenko9c048b52008-05-07 21:25:33 +04001782 case CFI_CMDSET_INTEL_PROG_REGIONS:
Stefan Roese260421a2006-11-13 13:55:24 +01001783 case CFI_CMDSET_INTEL_STANDARD:
1784 case CFI_CMDSET_INTEL_EXTENDED:
Michael Schwingen8225d1e2008-01-12 20:29:47 +01001785 cmdset_intel_read_jedec_ids(info);
Stefan Roese260421a2006-11-13 13:55:24 +01001786 break;
1787 case CFI_CMDSET_AMD_STANDARD:
1788 case CFI_CMDSET_AMD_EXTENDED:
Michael Schwingen8225d1e2008-01-12 20:29:47 +01001789 cmdset_amd_read_jedec_ids(info);
Stefan Roese260421a2006-11-13 13:55:24 +01001790 break;
1791 default:
1792 break;
1793 }
1794}
1795
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +02001796/*-----------------------------------------------------------------------
1797 * Call board code to request info about non-CFI flash.
1798 * board_flash_get_legacy needs to fill in at least:
1799 * info->portwidth, info->chipwidth and info->interface for Jedec probing.
1800 */
Becky Bruce09ce9922009-02-02 16:34:51 -06001801static int flash_detect_legacy(phys_addr_t base, int banknum)
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +02001802{
1803 flash_info_t *info = &flash_info[banknum];
1804
1805 if (board_flash_get_legacy(base, banknum, info)) {
1806 /* board code may have filled info completely. If not, we
Mario Sixa6d18f22018-01-26 14:43:41 +01001807 * use JEDEC ID probing.
1808 */
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +02001809 if (!info->vendor) {
1810 int modes[] = {
1811 CFI_CMDSET_AMD_STANDARD,
1812 CFI_CMDSET_INTEL_STANDARD
1813 };
1814 int i;
1815
Axel Lin31bf0f52013-06-23 00:56:46 +08001816 for (i = 0; i < ARRAY_SIZE(modes); i++) {
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +02001817 info->vendor = modes[i];
Becky Bruce09ce9922009-02-02 16:34:51 -06001818 info->start[0] =
1819 (ulong)map_physmem(base,
Stefan Roesee1fb6d02009-02-05 11:44:52 +01001820 info->portwidth,
Becky Bruce09ce9922009-02-02 16:34:51 -06001821 MAP_NOCACHE);
Mario Six88ecd8b2018-01-26 14:43:39 +01001822 if (info->portwidth == FLASH_CFI_8BIT &&
Mario Sixc0350fb2018-01-26 14:43:55 +01001823 info->interface == FLASH_CFI_X8X16) {
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +02001824 info->addr_unlock1 = 0x2AAA;
1825 info->addr_unlock2 = 0x5555;
1826 } else {
1827 info->addr_unlock1 = 0x5555;
1828 info->addr_unlock2 = 0x2AAA;
1829 }
1830 flash_read_jedec_ids(info);
1831 debug("JEDEC PROBE: ID %x %x %x\n",
Mario Sixc0350fb2018-01-26 14:43:55 +01001832 info->manufacturer_id,
1833 info->device_id,
1834 info->device_id2);
Becky Bruce09ce9922009-02-02 16:34:51 -06001835 if (jedec_flash_match(info, info->start[0]))
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +02001836 break;
Mario Six98601372018-01-26 14:43:45 +01001837
1838 unmap_physmem((void *)info->start[0],
1839 info->portwidth);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +02001840 }
1841 }
1842
Mario Sixb1683862018-01-26 14:43:33 +01001843 switch (info->vendor) {
Vasiliy Leoenenko9c048b52008-05-07 21:25:33 +04001844 case CFI_CMDSET_INTEL_PROG_REGIONS:
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +02001845 case CFI_CMDSET_INTEL_STANDARD:
1846 case CFI_CMDSET_INTEL_EXTENDED:
1847 info->cmd_reset = FLASH_CMD_RESET;
1848 break;
1849 case CFI_CMDSET_AMD_STANDARD:
1850 case CFI_CMDSET_AMD_EXTENDED:
1851 case CFI_CMDSET_AMD_LEGACY:
1852 info->cmd_reset = AMD_CMD_RESET;
1853 break;
1854 }
1855 info->flash_id = FLASH_MAN_CFI;
1856 return 1;
1857 }
1858 return 0; /* use CFI */
1859}
1860#else
Becky Bruce09ce9922009-02-02 16:34:51 -06001861static inline int flash_detect_legacy(phys_addr_t base, int banknum)
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +02001862{
1863 return 0; /* use CFI */
1864}
1865#endif
1866
Stefan Roese260421a2006-11-13 13:55:24 +01001867/*-----------------------------------------------------------------------
wdenk5653fc32004-02-08 22:55:38 +00001868 * detect if flash is compatible with the Common Flash Interface (CFI)
1869 * http://www.jedec.org/download/search/jesd68.pdf
Haavard Skinnemoen7e5b9b42007-12-13 12:56:28 +01001870 */
Mario Sixc0350fb2018-01-26 14:43:55 +01001871static void flash_read_cfi(flash_info_t *info, void *buf, unsigned int start,
1872 size_t len)
Haavard Skinnemoene23741f2007-12-14 15:36:16 +01001873{
1874 u8 *p = buf;
1875 unsigned int i;
1876
1877 for (i = 0; i < len; i++)
Stefan Roesee303be22013-04-12 19:04:54 +02001878 p[i] = flash_read_uchar(info, start + i);
Haavard Skinnemoene23741f2007-12-14 15:36:16 +01001879}
1880
Kim Phillips11dc4012012-10-29 13:34:45 +00001881static void __flash_cmd_reset(flash_info_t *info)
Stefan Roesefa36ae72009-10-27 15:15:55 +01001882{
1883 /*
1884 * We do not yet know what kind of commandset to use, so we issue
1885 * the reset command in both Intel and AMD variants, in the hope
1886 * that AMD flash roms ignore the Intel command.
1887 */
1888 flash_write_cmd(info, 0, 0, AMD_CMD_RESET);
Aaron Williamsa90b9572011-04-12 00:59:04 -07001889 udelay(1);
Stefan Roesefa36ae72009-10-27 15:15:55 +01001890 flash_write_cmd(info, 0, 0, FLASH_CMD_RESET);
1891}
Mario Six7223a8c2018-01-26 14:43:37 +01001892
Stefan Roesefa36ae72009-10-27 15:15:55 +01001893void flash_cmd_reset(flash_info_t *info)
Mario Six640f4e32018-01-26 14:43:36 +01001894 __attribute__((weak, alias("__flash_cmd_reset")));
Stefan Roesefa36ae72009-10-27 15:15:55 +01001895
Mario Sixca2b07a2018-01-26 14:43:32 +01001896static int __flash_detect_cfi(flash_info_t *info, struct cfi_qry *qry)
wdenk5653fc32004-02-08 22:55:38 +00001897{
Wolfgang Denk92eb7292006-12-27 01:26:13 +01001898 int cfi_offset;
Haavard Skinnemoen7e5b9b42007-12-13 12:56:28 +01001899
Stefan Roesee303be22013-04-12 19:04:54 +02001900 /* Issue FLASH reset command */
1901 flash_cmd_reset(info);
1902
Axel Lin31bf0f52013-06-23 00:56:46 +08001903 for (cfi_offset = 0; cfi_offset < ARRAY_SIZE(flash_offset_cfi);
Haavard Skinnemoen7e5b9b42007-12-13 12:56:28 +01001904 cfi_offset++) {
Mario Six188a5562018-01-26 14:43:31 +01001905 flash_write_cmd(info, 0, flash_offset_cfi[cfi_offset],
Mario Sixc0350fb2018-01-26 14:43:55 +01001906 FLASH_CMD_CFI);
Mario Six88ecd8b2018-01-26 14:43:39 +01001907 if (flash_isequal(info, 0, FLASH_OFFSET_CFI_RESP, 'Q') &&
Mario Sixddcf0542018-01-26 14:43:54 +01001908 flash_isequal(info, 0, FLASH_OFFSET_CFI_RESP + 1, 'R') &&
1909 flash_isequal(info, 0, FLASH_OFFSET_CFI_RESP + 2, 'Y')) {
Mario Sixc0350fb2018-01-26 14:43:55 +01001910 flash_read_cfi(info, qry, FLASH_OFFSET_CFI_RESP,
1911 sizeof(struct cfi_qry));
Haavard Skinnemoene23741f2007-12-14 15:36:16 +01001912 info->interface = le16_to_cpu(qry->interface_desc);
Stefan Roesee303be22013-04-12 19:04:54 +02001913
Haavard Skinnemoen7e5b9b42007-12-13 12:56:28 +01001914 info->cfi_offset = flash_offset_cfi[cfi_offset];
Mario Six188a5562018-01-26 14:43:31 +01001915 debug("device interface is %d\n",
Mario Sixc0350fb2018-01-26 14:43:55 +01001916 info->interface);
Mario Six188a5562018-01-26 14:43:31 +01001917 debug("found port %d chip %d ",
Mario Sixc0350fb2018-01-26 14:43:55 +01001918 info->portwidth, info->chipwidth);
Mario Six188a5562018-01-26 14:43:31 +01001919 debug("port %d bits chip %d bits\n",
Mario Sixc0350fb2018-01-26 14:43:55 +01001920 info->portwidth << CFI_FLASH_SHIFT_WIDTH,
1921 info->chipwidth << CFI_FLASH_SHIFT_WIDTH);
Haavard Skinnemoen7e5b9b42007-12-13 12:56:28 +01001922
1923 /* calculate command offsets as in the Linux driver */
Stefan Roesee303be22013-04-12 19:04:54 +02001924 info->addr_unlock1 = 0x555;
1925 info->addr_unlock2 = 0x2aa;
Haavard Skinnemoen7e5b9b42007-12-13 12:56:28 +01001926
1927 /*
1928 * modify the unlock address if we are
1929 * in compatibility mode
1930 */
Mario Sixb1683862018-01-26 14:43:33 +01001931 if (/* x8/x16 in x8 mode */
Mario Six4f89da42018-01-26 14:43:42 +01001932 (info->chipwidth == FLASH_CFI_BY8 &&
1933 info->interface == FLASH_CFI_X8X16) ||
Mario Sixb1683862018-01-26 14:43:33 +01001934 /* x16/x32 in x16 mode */
Mario Six4f89da42018-01-26 14:43:42 +01001935 (info->chipwidth == FLASH_CFI_BY16 &&
Mario Six0cec0a12018-01-26 14:43:46 +01001936 info->interface == FLASH_CFI_X16X32)) {
Haavard Skinnemoen7e5b9b42007-12-13 12:56:28 +01001937 info->addr_unlock1 = 0xaaa;
1938 info->addr_unlock2 = 0x555;
1939 }
1940
1941 info->name = "CFI conformant";
1942 return 1;
1943 }
1944 }
1945
1946 return 0;
1947}
1948
Mario Sixca2b07a2018-01-26 14:43:32 +01001949static int flash_detect_cfi(flash_info_t *info, struct cfi_qry *qry)
Haavard Skinnemoen7e5b9b42007-12-13 12:56:28 +01001950{
Mario Six188a5562018-01-26 14:43:31 +01001951 debug("flash detect cfi\n");
wdenk5653fc32004-02-08 22:55:38 +00001952
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +02001953 for (info->portwidth = CONFIG_SYS_FLASH_CFI_WIDTH;
wdenkbf9e3b32004-02-12 00:47:09 +00001954 info->portwidth <= FLASH_CFI_64BIT; info->portwidth <<= 1) {
1955 for (info->chipwidth = FLASH_CFI_BY8;
1956 info->chipwidth <= info->portwidth;
Haavard Skinnemoen7e5b9b42007-12-13 12:56:28 +01001957 info->chipwidth <<= 1)
Stefan Roesee303be22013-04-12 19:04:54 +02001958 if (__flash_detect_cfi(info, qry))
Haavard Skinnemoen7e5b9b42007-12-13 12:56:28 +01001959 return 1;
wdenk5653fc32004-02-08 22:55:38 +00001960 }
Mario Six188a5562018-01-26 14:43:31 +01001961 debug("not found\n");
wdenk5653fc32004-02-08 22:55:38 +00001962 return 0;
1963}
wdenkbf9e3b32004-02-12 00:47:09 +00001964
wdenk5653fc32004-02-08 22:55:38 +00001965/*
Haavard Skinnemoen467bcee2007-12-14 15:36:18 +01001966 * Manufacturer-specific quirks. Add workarounds for geometry
1967 * reversal, etc. here.
1968 */
1969static void flash_fixup_amd(flash_info_t *info, struct cfi_qry *qry)
1970{
1971 /* check if flash geometry needs reversal */
1972 if (qry->num_erase_regions > 1) {
1973 /* reverse geometry if top boot part */
1974 if (info->cfi_version < 0x3131) {
1975 /* CFI < 1.1, try to guess from device id */
1976 if ((info->device_id & 0x80) != 0)
1977 cfi_reverse_geometry(qry);
Stefan Roesee303be22013-04-12 19:04:54 +02001978 } else if (flash_read_uchar(info, info->ext_addr + 0xf) == 3) {
Haavard Skinnemoen467bcee2007-12-14 15:36:18 +01001979 /* CFI >= 1.1, deduct from top/bottom flag */
1980 /* note: ext_addr is valid since cfi_version > 0 */
1981 cfi_reverse_geometry(qry);
1982 }
1983 }
1984}
1985
1986static void flash_fixup_atmel(flash_info_t *info, struct cfi_qry *qry)
1987{
1988 int reverse_geometry = 0;
1989
1990 /* Check the "top boot" bit in the PRI */
1991 if (info->ext_addr && !(flash_read_uchar(info, info->ext_addr + 6) & 1))
1992 reverse_geometry = 1;
1993
1994 /* AT49BV6416(T) list the erase regions in the wrong order.
1995 * However, the device ID is identical with the non-broken
Ulf Samuelssoncb82a532009-03-27 23:26:43 +01001996 * AT49BV642D they differ in the high byte.
Haavard Skinnemoen467bcee2007-12-14 15:36:18 +01001997 */
Haavard Skinnemoen467bcee2007-12-14 15:36:18 +01001998 if (info->device_id == 0xd6 || info->device_id == 0xd2)
1999 reverse_geometry = !reverse_geometry;
Haavard Skinnemoen467bcee2007-12-14 15:36:18 +01002000
2001 if (reverse_geometry)
2002 cfi_reverse_geometry(qry);
2003}
2004
Richard Retanubune8eac432009-01-14 08:44:26 -05002005static void flash_fixup_stm(flash_info_t *info, struct cfi_qry *qry)
2006{
2007 /* check if flash geometry needs reversal */
2008 if (qry->num_erase_regions > 1) {
2009 /* reverse geometry if top boot part */
2010 if (info->cfi_version < 0x3131) {
Mike Frysinger6a011ce2011-04-10 16:06:29 -04002011 /* CFI < 1.1, guess by device id */
2012 if (info->device_id == 0x22CA || /* M29W320DT */
2013 info->device_id == 0x2256 || /* M29W320ET */
2014 info->device_id == 0x22D7) { /* M29W800DT */
Richard Retanubune8eac432009-01-14 08:44:26 -05002015 cfi_reverse_geometry(qry);
2016 }
Mike Frysinger4c2105c2011-05-09 18:33:36 -04002017 } else if (flash_read_uchar(info, info->ext_addr + 0xf) == 3) {
2018 /* CFI >= 1.1, deduct from top/bottom flag */
2019 /* note: ext_addr is valid since cfi_version > 0 */
2020 cfi_reverse_geometry(qry);
Richard Retanubune8eac432009-01-14 08:44:26 -05002021 }
2022 }
2023}
2024
Angelo Dureghello07b2c5c2012-12-01 01:14:18 +01002025static void flash_fixup_sst(flash_info_t *info, struct cfi_qry *qry)
2026{
2027 /*
2028 * SST, for many recent nor parallel flashes, says they are
2029 * CFI-conformant. This is not true, since qry struct.
2030 * reports a std. AMD command set (0x0002), while SST allows to
2031 * erase two different sector sizes for the same memory.
2032 * 64KB sector (SST call it block) needs 0x30 to be erased.
2033 * 4KB sector (SST call it sector) needs 0x50 to be erased.
2034 * Since CFI query detect the 4KB number of sectors, users expects
2035 * a sector granularity of 4KB, and it is here set.
2036 */
2037 if (info->device_id == 0x5D23 || /* SST39VF3201B */
2038 info->device_id == 0x5C23) { /* SST39VF3202B */
2039 /* set sector granularity to 4KB */
Mario Six640f4e32018-01-26 14:43:36 +01002040 info->cmd_erase_sector = 0x50;
Angelo Dureghello07b2c5c2012-12-01 01:14:18 +01002041 }
2042}
2043
Jagannadha Sutradharudu Tekic5023212013-03-01 16:54:26 +05302044static void flash_fixup_num(flash_info_t *info, struct cfi_qry *qry)
2045{
2046 /*
2047 * The M29EW devices seem to report the CFI information wrong
2048 * when it's in 8 bit mode.
2049 * There's an app note from Numonyx on this issue.
2050 * So adjust the buffer size for M29EW while operating in 8-bit mode
2051 */
Mario Six4f89da42018-01-26 14:43:42 +01002052 if (qry->max_buf_write_size > 0x8 &&
Mario Sixc0350fb2018-01-26 14:43:55 +01002053 info->device_id == 0x7E &&
2054 (info->device_id2 == 0x2201 ||
2055 info->device_id2 == 0x2301 ||
2056 info->device_id2 == 0x2801 ||
2057 info->device_id2 == 0x4801)) {
Mario Six876c52f2018-01-26 14:43:50 +01002058 debug("Adjusted buffer size on Numonyx flash");
2059 debug(" M29EW family in 8 bit mode\n");
Jagannadha Sutradharudu Tekic5023212013-03-01 16:54:26 +05302060 qry->max_buf_write_size = 0x8;
2061 }
2062}
2063
Haavard Skinnemoen467bcee2007-12-14 15:36:18 +01002064/*
wdenk5653fc32004-02-08 22:55:38 +00002065 * The following code cannot be run from FLASH!
2066 *
2067 */
Mario Six188a5562018-01-26 14:43:31 +01002068ulong flash_get_size(phys_addr_t base, int banknum)
wdenk5653fc32004-02-08 22:55:38 +00002069{
wdenkbf9e3b32004-02-12 00:47:09 +00002070 flash_info_t *info = &flash_info[banknum];
wdenk5653fc32004-02-08 22:55:38 +00002071 int i, j;
2072 flash_sect_t sect_cnt;
Becky Bruce09ce9922009-02-02 16:34:51 -06002073 phys_addr_t sector;
wdenk5653fc32004-02-08 22:55:38 +00002074 unsigned long tmp;
2075 int size_ratio;
2076 uchar num_erase_regions;
wdenkbf9e3b32004-02-12 00:47:09 +00002077 int erase_region_size;
2078 int erase_region_count;
Haavard Skinnemoene23741f2007-12-14 15:36:16 +01002079 struct cfi_qry qry;
Anatolij Gustschin34bbb8f2010-11-28 02:13:33 +01002080 unsigned long max_size;
Stefan Roese260421a2006-11-13 13:55:24 +01002081
Kumar Galaf9796902008-05-15 15:13:08 -05002082 memset(&qry, 0, sizeof(qry));
2083
Stefan Roese260421a2006-11-13 13:55:24 +01002084 info->ext_addr = 0;
2085 info->cfi_version = 0;
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +02002086#ifdef CONFIG_SYS_FLASH_PROTECTION
Stefan Roese2662b402006-04-01 13:41:03 +02002087 info->legacy_unlock = 0;
2088#endif
wdenk5653fc32004-02-08 22:55:38 +00002089
Becky Bruce09ce9922009-02-02 16:34:51 -06002090 info->start[0] = (ulong)map_physmem(base, info->portwidth, MAP_NOCACHE);
wdenk5653fc32004-02-08 22:55:38 +00002091
Mario Six188a5562018-01-26 14:43:31 +01002092 if (flash_detect_cfi(info, &qry)) {
Mario Six4f89da42018-01-26 14:43:42 +01002093 info->vendor = le16_to_cpu(get_unaligned(&qry.p_id));
2094 info->ext_addr = le16_to_cpu(get_unaligned(&qry.p_adr));
Haavard Skinnemoene23741f2007-12-14 15:36:16 +01002095 num_erase_regions = qry.num_erase_regions;
2096
Stefan Roese260421a2006-11-13 13:55:24 +01002097 if (info->ext_addr) {
Mario Six640f4e32018-01-26 14:43:36 +01002098 info->cfi_version = (ushort)flash_read_uchar(info,
Stefan Roesee303be22013-04-12 19:04:54 +02002099 info->ext_addr + 3) << 8;
Mario Six640f4e32018-01-26 14:43:36 +01002100 info->cfi_version |= (ushort)flash_read_uchar(info,
Stefan Roesee303be22013-04-12 19:04:54 +02002101 info->ext_addr + 4);
Stefan Roese260421a2006-11-13 13:55:24 +01002102 }
Haavard Skinnemoen0ddf06d2007-12-14 15:36:17 +01002103
wdenkbf9e3b32004-02-12 00:47:09 +00002104#ifdef DEBUG
Mario Six188a5562018-01-26 14:43:31 +01002105 flash_printqry(&qry);
wdenkbf9e3b32004-02-12 00:47:09 +00002106#endif
Haavard Skinnemoen0ddf06d2007-12-14 15:36:17 +01002107
wdenkbf9e3b32004-02-12 00:47:09 +00002108 switch (info->vendor) {
Vasiliy Leoenenko9c048b52008-05-07 21:25:33 +04002109 case CFI_CMDSET_INTEL_PROG_REGIONS:
wdenk5653fc32004-02-08 22:55:38 +00002110 case CFI_CMDSET_INTEL_STANDARD:
2111 case CFI_CMDSET_INTEL_EXTENDED:
Haavard Skinnemoen0ddf06d2007-12-14 15:36:17 +01002112 cmdset_intel_init(info, &qry);
wdenk5653fc32004-02-08 22:55:38 +00002113 break;
2114 case CFI_CMDSET_AMD_STANDARD:
2115 case CFI_CMDSET_AMD_EXTENDED:
Haavard Skinnemoen0ddf06d2007-12-14 15:36:17 +01002116 cmdset_amd_init(info, &qry);
wdenk5653fc32004-02-08 22:55:38 +00002117 break;
Haavard Skinnemoen0ddf06d2007-12-14 15:36:17 +01002118 default:
2119 printf("CFI: Unknown command set 0x%x\n",
Mario Sixc0350fb2018-01-26 14:43:55 +01002120 info->vendor);
Haavard Skinnemoen0ddf06d2007-12-14 15:36:17 +01002121 /*
2122 * Unfortunately, this means we don't know how
2123 * to get the chip back to Read mode. Might
2124 * as well try an Intel-style reset...
2125 */
2126 flash_write_cmd(info, 0, 0, FLASH_CMD_RESET);
2127 return 0;
wdenk5653fc32004-02-08 22:55:38 +00002128 }
wdenkcd37d9e2004-02-10 00:03:41 +00002129
Haavard Skinnemoen467bcee2007-12-14 15:36:18 +01002130 /* Do manufacturer-specific fixups */
2131 switch (info->manufacturer_id) {
Mario Schuknecht2c9f48a2011-02-21 13:13:14 +01002132 case 0x0001: /* AMD */
2133 case 0x0037: /* AMIC */
Haavard Skinnemoen467bcee2007-12-14 15:36:18 +01002134 flash_fixup_amd(info, &qry);
2135 break;
2136 case 0x001f:
2137 flash_fixup_atmel(info, &qry);
2138 break;
Richard Retanubune8eac432009-01-14 08:44:26 -05002139 case 0x0020:
2140 flash_fixup_stm(info, &qry);
2141 break;
Angelo Dureghello07b2c5c2012-12-01 01:14:18 +01002142 case 0x00bf: /* SST */
2143 flash_fixup_sst(info, &qry);
2144 break;
Jagannadha Sutradharudu Tekic5023212013-03-01 16:54:26 +05302145 case 0x0089: /* Numonyx */
2146 flash_fixup_num(info, &qry);
2147 break;
Haavard Skinnemoen467bcee2007-12-14 15:36:18 +01002148 }
2149
Mario Six188a5562018-01-26 14:43:31 +01002150 debug("manufacturer is %d\n", info->vendor);
2151 debug("manufacturer id is 0x%x\n", info->manufacturer_id);
2152 debug("device id is 0x%x\n", info->device_id);
2153 debug("device id2 is 0x%x\n", info->device_id2);
2154 debug("cfi version is 0x%04x\n", info->cfi_version);
Stefan Roese260421a2006-11-13 13:55:24 +01002155
wdenk5653fc32004-02-08 22:55:38 +00002156 size_ratio = info->portwidth / info->chipwidth;
wdenkbf9e3b32004-02-12 00:47:09 +00002157 /* if the chip is x8/x16 reduce the ratio by half */
Mario Six4f89da42018-01-26 14:43:42 +01002158 if (info->interface == FLASH_CFI_X8X16 &&
Mario Sixc0350fb2018-01-26 14:43:55 +01002159 info->chipwidth == FLASH_CFI_BY8) {
wdenkbf9e3b32004-02-12 00:47:09 +00002160 size_ratio >>= 1;
2161 }
Mario Six188a5562018-01-26 14:43:31 +01002162 debug("size_ratio %d port %d bits chip %d bits\n",
Mario Sixc0350fb2018-01-26 14:43:55 +01002163 size_ratio, info->portwidth << CFI_FLASH_SHIFT_WIDTH,
2164 info->chipwidth << CFI_FLASH_SHIFT_WIDTH);
Ilya Yanokec50a8e2010-10-21 17:20:12 +02002165 info->size = 1 << qry.dev_size;
2166 /* multiply the size by the number of chips */
2167 info->size *= size_ratio;
Anatolij Gustschin34bbb8f2010-11-28 02:13:33 +01002168 max_size = cfi_flash_bank_size(banknum);
Mario Six4f89da42018-01-26 14:43:42 +01002169 if (max_size && info->size > max_size) {
Ilya Yanokec50a8e2010-10-21 17:20:12 +02002170 debug("[truncated from %ldMiB]", info->size >> 20);
2171 info->size = max_size;
2172 }
Mario Six188a5562018-01-26 14:43:31 +01002173 debug("found %d erase regions\n", num_erase_regions);
wdenk5653fc32004-02-08 22:55:38 +00002174 sect_cnt = 0;
2175 sector = base;
wdenkbf9e3b32004-02-12 00:47:09 +00002176 for (i = 0; i < num_erase_regions; i++) {
2177 if (i > NUM_ERASE_REGIONS) {
Mario Six188a5562018-01-26 14:43:31 +01002178 printf("%d erase regions found, only %d used\n",
Mario Sixc0350fb2018-01-26 14:43:55 +01002179 num_erase_regions, NUM_ERASE_REGIONS);
wdenk5653fc32004-02-08 22:55:38 +00002180 break;
2181 }
Haavard Skinnemoene23741f2007-12-14 15:36:16 +01002182
Andrew Gabbasovaedadf12013-05-14 12:27:52 -05002183 tmp = le32_to_cpu(get_unaligned(
Mario Six4f89da42018-01-26 14:43:42 +01002184 &qry.erase_region_info[i]));
Haavard Skinnemoen0ddf06d2007-12-14 15:36:17 +01002185 debug("erase region %u: 0x%08lx\n", i, tmp);
Haavard Skinnemoene23741f2007-12-14 15:36:16 +01002186
2187 erase_region_count = (tmp & 0xffff) + 1;
2188 tmp >>= 16;
wdenkbf9e3b32004-02-12 00:47:09 +00002189 erase_region_size =
2190 (tmp & 0xffff) ? ((tmp & 0xffff) * 256) : 128;
Mario Sixddcf0542018-01-26 14:43:54 +01002191 debug("erase_region_count = %d ", erase_region_count);
2192 debug("erase_region_size = %d\n", erase_region_size);
wdenkbf9e3b32004-02-12 00:47:09 +00002193 for (j = 0; j < erase_region_count; j++) {
Ilya Yanokec50a8e2010-10-21 17:20:12 +02002194 if (sector - base >= info->size)
2195 break;
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +02002196 if (sect_cnt >= CONFIG_SYS_MAX_FLASH_SECT) {
Michael Schwingen81b20cc2007-12-07 23:35:02 +01002197 printf("ERROR: too many flash sectors\n");
2198 break;
2199 }
Becky Bruce09ce9922009-02-02 16:34:51 -06002200 info->start[sect_cnt] =
2201 (ulong)map_physmem(sector,
2202 info->portwidth,
2203 MAP_NOCACHE);
wdenk5653fc32004-02-08 22:55:38 +00002204 sector += (erase_region_size * size_ratio);
wdenka1191902005-01-09 17:12:27 +00002205
2206 /*
Haavard Skinnemoen7e5b9b42007-12-13 12:56:28 +01002207 * Only read protection status from
2208 * supported devices (intel...)
wdenka1191902005-01-09 17:12:27 +00002209 */
2210 switch (info->vendor) {
Vasiliy Leoenenko9c048b52008-05-07 21:25:33 +04002211 case CFI_CMDSET_INTEL_PROG_REGIONS:
wdenka1191902005-01-09 17:12:27 +00002212 case CFI_CMDSET_INTEL_EXTENDED:
2213 case CFI_CMDSET_INTEL_STANDARD:
Stefan Roesedf4e8132010-10-25 18:31:29 +02002214 /*
2215 * Set flash to read-id mode. Otherwise
2216 * reading protected status is not
2217 * guaranteed.
2218 */
2219 flash_write_cmd(info, sect_cnt, 0,
2220 FLASH_CMD_READ_ID);
wdenka1191902005-01-09 17:12:27 +00002221 info->protect[sect_cnt] =
Mario Six188a5562018-01-26 14:43:31 +01002222 flash_isset(info, sect_cnt,
Mario Sixc0350fb2018-01-26 14:43:55 +01002223 FLASH_OFFSET_PROTECT,
2224 FLASH_STATUS_PROTECT);
Vasily Khoruzhickedc498c2016-03-20 18:37:10 -07002225 flash_write_cmd(info, sect_cnt, 0,
2226 FLASH_CMD_RESET);
wdenka1191902005-01-09 17:12:27 +00002227 break;
Stefan Roese03deff42012-12-06 15:44:10 +01002228 case CFI_CMDSET_AMD_EXTENDED:
2229 case CFI_CMDSET_AMD_STANDARD:
Stefan Roeseac6b9112012-12-06 15:44:11 +01002230 if (!info->legacy_unlock) {
Stefan Roese03deff42012-12-06 15:44:10 +01002231 /* default: not protected */
2232 info->protect[sect_cnt] = 0;
2233 break;
2234 }
2235
2236 /* Read protection (PPB) from sector */
2237 flash_write_cmd(info, 0, 0,
2238 info->cmd_reset);
2239 flash_unlock_seq(info, 0);
2240 flash_write_cmd(info, 0,
2241 info->addr_unlock1,
2242 FLASH_CMD_READ_ID);
2243 info->protect[sect_cnt] =
2244 flash_isset(
2245 info, sect_cnt,
2246 FLASH_OFFSET_PROTECT,
2247 FLASH_STATUS_PROTECT);
2248 break;
wdenka1191902005-01-09 17:12:27 +00002249 default:
Haavard Skinnemoen7e5b9b42007-12-13 12:56:28 +01002250 /* default: not protected */
2251 info->protect[sect_cnt] = 0;
wdenka1191902005-01-09 17:12:27 +00002252 }
2253
wdenk5653fc32004-02-08 22:55:38 +00002254 sect_cnt++;
2255 }
2256 }
2257
2258 info->sector_count = sect_cnt;
Haavard Skinnemoene23741f2007-12-14 15:36:16 +01002259 info->buffer_size = 1 << le16_to_cpu(qry.max_buf_write_size);
2260 tmp = 1 << qry.block_erase_timeout_typ;
Haavard Skinnemoen7e5b9b42007-12-13 12:56:28 +01002261 info->erase_blk_tout = tmp *
Haavard Skinnemoene23741f2007-12-14 15:36:16 +01002262 (1 << qry.block_erase_timeout_max);
2263 tmp = (1 << qry.buf_write_timeout_typ) *
2264 (1 << qry.buf_write_timeout_max);
2265
Haavard Skinnemoen7e5b9b42007-12-13 12:56:28 +01002266 /* round up when converting to ms */
Haavard Skinnemoene23741f2007-12-14 15:36:16 +01002267 info->buffer_write_tout = (tmp + 999) / 1000;
2268 tmp = (1 << qry.word_write_timeout_typ) *
2269 (1 << qry.word_write_timeout_max);
Haavard Skinnemoen7e5b9b42007-12-13 12:56:28 +01002270 /* round up when converting to ms */
Haavard Skinnemoene23741f2007-12-14 15:36:16 +01002271 info->write_tout = (tmp + 999) / 1000;
wdenk5653fc32004-02-08 22:55:38 +00002272 info->flash_id = FLASH_MAN_CFI;
Mario Six4f89da42018-01-26 14:43:42 +01002273 if (info->interface == FLASH_CFI_X8X16 &&
2274 info->chipwidth == FLASH_CFI_BY8) {
Haavard Skinnemoen7e5b9b42007-12-13 12:56:28 +01002275 /* XXX - Need to test on x8/x16 in parallel. */
2276 info->portwidth >>= 1;
wdenk855a4962004-03-14 18:23:55 +00002277 }
Mike Frysinger22159872008-10-02 01:55:38 -04002278
Mario Six188a5562018-01-26 14:43:31 +01002279 flash_write_cmd(info, 0, 0, info->cmd_reset);
wdenk5653fc32004-02-08 22:55:38 +00002280 }
2281
wdenkbf9e3b32004-02-12 00:47:09 +00002282 return (info->size);
wdenk5653fc32004-02-08 22:55:38 +00002283}
2284
Mike Frysinger4ffeab22010-12-22 09:41:13 -05002285#ifdef CONFIG_FLASH_CFI_MTD
Piotr Ziecik6ea808e2008-11-17 15:49:32 +01002286void flash_set_verbose(uint v)
2287{
2288 flash_verbose = v;
2289}
Mike Frysinger4ffeab22010-12-22 09:41:13 -05002290#endif
Piotr Ziecik6ea808e2008-11-17 15:49:32 +01002291
Stefan Roese6f726f92010-10-25 18:31:48 +02002292static void cfi_flash_set_config_reg(u32 base, u16 val)
2293{
2294#ifdef CONFIG_SYS_CFI_FLASH_CONFIG_REGS
2295 /*
2296 * Only set this config register if really defined
2297 * to a valid value (0xffff is invalid)
2298 */
2299 if (val == 0xffff)
2300 return;
2301
2302 /*
2303 * Set configuration register. Data is "encrypted" in the 16 lower
2304 * address bits.
2305 */
2306 flash_write16(FLASH_CMD_SETUP, (void *)(base + (val << 1)));
2307 flash_write16(FLASH_CMD_SET_CR_CONFIRM, (void *)(base + (val << 1)));
2308
2309 /*
2310 * Finally issue reset-command to bring device back to
2311 * read-array mode
2312 */
2313 flash_write16(FLASH_CMD_RESET, (void *)base);
2314#endif
2315}
2316
wdenk5653fc32004-02-08 22:55:38 +00002317/*-----------------------------------------------------------------------
2318 */
Heiko Schocher6ee14162011-04-04 08:10:21 +02002319
Marek Vasut236c49a2017-08-20 17:20:00 +02002320static void flash_protect_default(void)
Heiko Schocher6ee14162011-04-04 08:10:21 +02002321{
Peter Tyser2c519832011-04-13 11:46:56 -05002322#if defined(CONFIG_SYS_FLASH_AUTOPROTECT_LIST)
2323 int i;
2324 struct apl_s {
2325 ulong start;
2326 ulong size;
2327 } apl[] = CONFIG_SYS_FLASH_AUTOPROTECT_LIST;
2328#endif
2329
Heiko Schocher6ee14162011-04-04 08:10:21 +02002330 /* Monitor protection ON by default */
2331#if (CONFIG_SYS_MONITOR_BASE >= CONFIG_SYS_FLASH_BASE) && \
2332 (!defined(CONFIG_MONITOR_IS_IN_RAM))
2333 flash_protect(FLAG_PROTECT_SET,
Mario Sixc0350fb2018-01-26 14:43:55 +01002334 CONFIG_SYS_MONITOR_BASE,
2335 CONFIG_SYS_MONITOR_BASE + monitor_flash_len - 1,
2336 flash_get_info(CONFIG_SYS_MONITOR_BASE));
Heiko Schocher6ee14162011-04-04 08:10:21 +02002337#endif
2338
2339 /* Environment protection ON by default */
2340#ifdef CONFIG_ENV_IS_IN_FLASH
2341 flash_protect(FLAG_PROTECT_SET,
Mario Sixc0350fb2018-01-26 14:43:55 +01002342 CONFIG_ENV_ADDR,
2343 CONFIG_ENV_ADDR + CONFIG_ENV_SECT_SIZE - 1,
2344 flash_get_info(CONFIG_ENV_ADDR));
Heiko Schocher6ee14162011-04-04 08:10:21 +02002345#endif
2346
2347 /* Redundant environment protection ON by default */
2348#ifdef CONFIG_ENV_ADDR_REDUND
2349 flash_protect(FLAG_PROTECT_SET,
Mario Sixc0350fb2018-01-26 14:43:55 +01002350 CONFIG_ENV_ADDR_REDUND,
2351 CONFIG_ENV_ADDR_REDUND + CONFIG_ENV_SECT_SIZE - 1,
2352 flash_get_info(CONFIG_ENV_ADDR_REDUND));
Heiko Schocher6ee14162011-04-04 08:10:21 +02002353#endif
2354
2355#if defined(CONFIG_SYS_FLASH_AUTOPROTECT_LIST)
Axel Lin31bf0f52013-06-23 00:56:46 +08002356 for (i = 0; i < ARRAY_SIZE(apl); i++) {
Marek Vasut31d34142011-10-21 14:17:05 +00002357 debug("autoprotecting from %08lx to %08lx\n",
Heiko Schocher6ee14162011-04-04 08:10:21 +02002358 apl[i].start, apl[i].start + apl[i].size - 1);
2359 flash_protect(FLAG_PROTECT_SET,
Mario Sixc0350fb2018-01-26 14:43:55 +01002360 apl[i].start,
2361 apl[i].start + apl[i].size - 1,
2362 flash_get_info(apl[i].start));
Heiko Schocher6ee14162011-04-04 08:10:21 +02002363 }
2364#endif
2365}
2366
Mario Six188a5562018-01-26 14:43:31 +01002367unsigned long flash_init(void)
wdenk5653fc32004-02-08 22:55:38 +00002368{
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +02002369 unsigned long size = 0;
2370 int i;
wdenk5653fc32004-02-08 22:55:38 +00002371
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +02002372#ifdef CONFIG_SYS_FLASH_PROTECTION
Eric Schumann3a3baf32009-03-21 09:59:34 -04002373 /* read environment from EEPROM */
2374 char s[64];
Mario Six7223a8c2018-01-26 14:43:37 +01002375
Simon Glass00caae62017-08-03 12:22:12 -06002376 env_get_f("unlock", s, sizeof(s));
Michael Schwingen81b20cc2007-12-07 23:35:02 +01002377#endif
wdenk5653fc32004-02-08 22:55:38 +00002378
Thomas Chouf1056912015-11-07 14:31:08 +08002379#ifdef CONFIG_CFI_FLASH /* for driver model */
2380 cfi_flash_init_dm();
2381#endif
2382
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +02002383 /* Init: no FLASHes known */
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +02002384 for (i = 0; i < CONFIG_SYS_MAX_FLASH_BANKS; ++i) {
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +02002385 flash_info[i].flash_id = FLASH_UNKNOWN;
wdenk5653fc32004-02-08 22:55:38 +00002386
Stefan Roese6f726f92010-10-25 18:31:48 +02002387 /* Optionally write flash configuration register */
2388 cfi_flash_set_config_reg(cfi_flash_bank_addr(i),
2389 cfi_flash_config_reg(i));
2390
Stefan Roeseb00e19c2010-08-30 10:11:51 +02002391 if (!flash_detect_legacy(cfi_flash_bank_addr(i), i))
Anatolij Gustschin34bbb8f2010-11-28 02:13:33 +01002392 flash_get_size(cfi_flash_bank_addr(i), i);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +02002393 size += flash_info[i].size;
2394 if (flash_info[i].flash_id == FLASH_UNKNOWN) {
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +02002395#ifndef CONFIG_SYS_FLASH_QUIET_TEST
Mario Six876c52f2018-01-26 14:43:50 +01002396 printf("## Unknown flash on Bank %d ", i + 1);
2397 printf("- Size = 0x%08lx = %ld MB\n",
Mario Sixc0350fb2018-01-26 14:43:55 +01002398 flash_info[i].size,
2399 flash_info[i].size >> 20);
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +02002400#endif /* CONFIG_SYS_FLASH_QUIET_TEST */
wdenk5653fc32004-02-08 22:55:38 +00002401 }
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +02002402#ifdef CONFIG_SYS_FLASH_PROTECTION
Jeroen Hofsteec15df212014-06-17 22:47:31 +02002403 else if (strcmp(s, "yes") == 0) {
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +02002404 /*
2405 * Only the U-Boot image and it's environment
2406 * is protected, all other sectors are
2407 * unprotected (unlocked) if flash hardware
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +02002408 * protection is used (CONFIG_SYS_FLASH_PROTECTION)
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +02002409 * and the environment variable "unlock" is
2410 * set to "yes".
2411 */
2412 if (flash_info[i].legacy_unlock) {
2413 int k;
Stefan Roese79b4cda2006-02-28 15:29:58 +01002414
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +02002415 /*
2416 * Disable legacy_unlock temporarily,
2417 * since flash_real_protect would
2418 * relock all other sectors again
2419 * otherwise.
2420 */
2421 flash_info[i].legacy_unlock = 0;
Stefan Roese79b4cda2006-02-28 15:29:58 +01002422
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +02002423 /*
2424 * Legacy unlocking (e.g. Intel J3) ->
2425 * unlock only one sector. This will
2426 * unlock all sectors.
2427 */
Mario Six188a5562018-01-26 14:43:31 +01002428 flash_real_protect(&flash_info[i], 0, 0);
Stefan Roese79b4cda2006-02-28 15:29:58 +01002429
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +02002430 flash_info[i].legacy_unlock = 1;
2431
2432 /*
2433 * Manually mark other sectors as
2434 * unlocked (unprotected)
2435 */
2436 for (k = 1; k < flash_info[i].sector_count; k++)
2437 flash_info[i].protect[k] = 0;
2438 } else {
2439 /*
2440 * No legancy unlocking -> unlock all sectors
2441 */
Mario Six188a5562018-01-26 14:43:31 +01002442 flash_protect(FLAG_PROTECT_CLEAR,
Mario Sixc0350fb2018-01-26 14:43:55 +01002443 flash_info[i].start[0],
2444 flash_info[i].start[0]
2445 + flash_info[i].size - 1,
2446 &flash_info[i]);
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +02002447 }
Stefan Roese79b4cda2006-02-28 15:29:58 +01002448 }
Jean-Christophe PLAGNIOL-VILLARD6d0f6bc2008-10-16 15:01:15 +02002449#endif /* CONFIG_SYS_FLASH_PROTECTION */
wdenk5653fc32004-02-08 22:55:38 +00002450 }
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +02002451
Heiko Schocher6ee14162011-04-04 08:10:21 +02002452 flash_protect_default();
Piotr Ziecik91809ed2008-11-17 15:57:58 +01002453#ifdef CONFIG_FLASH_CFI_MTD
2454 cfi_mtd_init();
2455#endif
2456
Haavard Skinnemoenbe60a902007-10-06 18:55:36 +02002457 return (size);
wdenk5653fc32004-02-08 22:55:38 +00002458}
Thomas Chouf1056912015-11-07 14:31:08 +08002459
2460#ifdef CONFIG_CFI_FLASH /* for driver model */
2461static int cfi_flash_probe(struct udevice *dev)
2462{
Thomas Chouf1056912015-11-07 14:31:08 +08002463 const fdt32_t *cell;
Mario Six8bfeb332018-03-28 14:38:41 +02002464 int addrc, sizec;
Thomas Chouf1056912015-11-07 14:31:08 +08002465 int len, idx;
2466
Mario Six8bfeb332018-03-28 14:38:41 +02002467 addrc = dev_read_addr_cells(dev);
2468 sizec = dev_read_size_cells(dev);
2469
2470 /* decode regs; there may be multiple reg tuples. */
2471 cell = dev_read_prop(dev, "reg", &len);
Thomas Chouf1056912015-11-07 14:31:08 +08002472 if (!cell)
2473 return -ENOENT;
2474 idx = 0;
2475 len /= sizeof(fdt32_t);
2476 while (idx < len) {
Mario Six8bfeb332018-03-28 14:38:41 +02002477 phys_addr_t addr;
2478
2479 addr = dev_translate_address(dev, cell + idx);
2480
Marek Vasut1ec0a372017-09-12 19:09:08 +02002481 flash_info[cfi_flash_num_flash_banks].dev = dev;
2482 flash_info[cfi_flash_num_flash_banks].base = addr;
2483 cfi_flash_num_flash_banks++;
Mario Six8bfeb332018-03-28 14:38:41 +02002484
Thomas Chouf1056912015-11-07 14:31:08 +08002485 idx += addrc + sizec;
2486 }
Marek Vasut1ec0a372017-09-12 19:09:08 +02002487 gd->bd->bi_flashstart = flash_info[0].base;
Thomas Chouf1056912015-11-07 14:31:08 +08002488
2489 return 0;
2490}
2491
2492static const struct udevice_id cfi_flash_ids[] = {
2493 { .compatible = "cfi-flash" },
2494 { .compatible = "jedec-flash" },
2495 {}
2496};
2497
2498U_BOOT_DRIVER(cfi_flash) = {
2499 .name = "cfi_flash",
2500 .id = UCLASS_MTD,
2501 .of_match = cfi_flash_ids,
2502 .probe = cfi_flash_probe,
2503};
2504#endif /* CONFIG_CFI_FLASH */